Hostname: page-component-848d4c4894-wg55d Total loading time: 0 Render date: 2024-06-02T09:40:54.185Z Has data issue: false hasContentIssue false

Porosity scaling strategies for low-k films

Published online by Cambridge University Press:  25 November 2015

David J. Michalak*
Affiliation:
Components Research, Intel Corporation, Hillsboro, OR 97124, USA
James M. Blackwell
Affiliation:
Components Research, Intel Corporation, Hillsboro, OR 97124, USA
Jessica M. Torres
Affiliation:
Components Research, Intel Corporation, Hillsboro, OR 97124, USA
Arkaprabha Sengupta
Affiliation:
Logic Technology Development, Intel Corporation, Hillsboro, OR 97124, USA
Lauren E. Kreno
Affiliation:
Components Research, Intel Corporation, Hillsboro, OR 97124, USA
James S. Clarke
Affiliation:
Components Research, Intel Corporation, Hillsboro, OR 97124, USA
Daniel Pantuso
Affiliation:
Logic Technology Development, Intel Corporation, Hillsboro, OR 97124, USA
*
a)Address all correspondence to this author. e-mail: david.j.michalak@intel.com
Get access

Abstract

Reducing the delay of backend interconnects is critical in delivering improved performance in next generation computer chips. One option is to implement interlayer dielectric (ILD) materials with increasingly lower dielectric constant (k) values. Despite industry need, there has been a recent decrease in study and production of these materials in academia and business communities. We have generated a backbone and porogen system that allows us to control porosity from 0 to 60% volume, achieve k-values from 3.4 to 1.6, maintain high chemical stability to various wet cleans, and deliver uniquely high mechanical strength at a given porosity. Finite element modeling and experimental results demonstrate that further improvements can be achieved through control of the pore volume into an ordered network. With hopes to spur more materials development, this paper discusses some molecular design and nanoscale hierarchical principles relevant to making next generation low-k ILD materials.

Type
Invited Feature Papers
Copyright
Copyright © Materials Research Society 2015 

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

Moore, G.E.: No exponential is forever: But “Forever” can be delayed![semiconductor industry]. In IEEE International Solid-State Circuits Conference Digest of Technical Papers, IEEE: 2003; p. 20.Google Scholar
Moore, G.E.: Cramming more components onto integrated circuits. Proc. IEEE 86(1), 82 (1998).CrossRefGoogle Scholar
Volksen, W., Miller, R.D., and Dubois, G.: Low dielectric constant materials. Chem. Rev. 110(1), 56 (2010).CrossRefGoogle ScholarPubMed
Husain, A.: Models for interconnect capacitance extraction. In International Symposium on Quality Electronic Design, IEEE: 2001; p. 167.Google Scholar
Maex, K., Baklanov, M., Shamiryan, D., Brongersma, S., and Yanovitskaya, Z.: Low dielectric constant materials for microelectronics. J. Appl. Phys. 93(11), 8793 (2003).CrossRefGoogle Scholar
Lionti, K., Volksen, W., Magbitang, T., Darnon, M., and Dubois, G.: Toward successful integration of porous low-k materials: Strategies addressing plasma damage. ECS J. Solid State Sci. Technol. 4(1), N3071 (2015).CrossRefGoogle Scholar
Baklanov, M., Ho, P.S., and Zschech, E.: Advanced Interconnects for ULSI Technology (Chicester: John Wiley & Sons, 2012).CrossRefGoogle Scholar
Grill, A., Patel, V., Rodbell, K., Huang, E., Baklanov, M., Mogilnikov, K., Toney, M., and Kim, H-C.: Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study. J. Appl. Phys. 94(5), 3427 (2003).CrossRefGoogle Scholar
Choy, T.C.: Effective Medium Theory: Principles and Applications (New York: Oxford University Press, 1999).Google Scholar
King, S., French, M., Bielefeld, J., and Lanford, W.: Fourier transform infrared spectroscopy investigation of chemical bonding in low-k a-SiC: H thin films. J. Non-Cryst. Solids 357(15), 2970 (2011).CrossRefGoogle Scholar
Mu, D., Liu, Z-S., Huang, C., and Djilali, N.: Determination of the effective diffusion coefficient in porous media including Knudsen effects. Microfluid. Nanofluid. 4(3), 257 (2008).CrossRefGoogle Scholar
Müller, H-P., Kimmich, R., and Weis, J.: NMR flow velocity mapping in random percolation model objects: Evidence for a power-law dependence of the volume-averaged velocity on the probe-volume radius. Phys. Rev. E 54(5), 5278 (1996).CrossRefGoogle ScholarPubMed
Sahimi, M.: Applications of Percolation Theory (Boca Raton: CRC Press, Taylor & Francis Group, 1994).CrossRefGoogle Scholar
Petkov, M.P., Weber, M.H., Lynn, K.G., and Rodbell, K.P.: Probing capped and uncapped mesoporous low-dielectric constant films using positron annihilation lifetime spectroscopy. Appl. Phys. Lett. 77(16), 2470 (2000).CrossRefGoogle Scholar
Zhou, W., Bailey, S., Sooryakumar, R., King, S., Xu, G., Mays, E., Ege, C., and Bielefeld, J.: Elastic properties of porous low-k dielectric nano-films. J. Appl. Phys. 110(4), 043520 (2011).CrossRefGoogle Scholar
Kim, H.: The application of atomic layer deposition for metallization of 65 nm and beyond. Surf. Coat. Technol. 200(10), 3104 (2006).CrossRefGoogle Scholar
Baklanov, M.R., de Marneffe, J-F., Shamiryan, D., Urbanowicz, A.M., Shi, H., Rakhimova, T.V., Huang, H., and Ho, P.S.: Plasma processing of low-k dielectrics. J. Appl. Phys. 113(4), 041101 (2013).CrossRefGoogle Scholar
Goldman, M., Graves, D., Antonelli, G., Behera, S., and Kelber, J.: Oxygen radical and plasma damage of low-k organosilicate glass materials: Diffusion-controlled mechanism for carbon depletion. J. Appl. Phys. 106(1), 13311 (2009).CrossRefGoogle Scholar
Richard, O., Iacopi, F., Bender, H., and Beyer, G.: Sidewall damage in silica-based low-k material induced by different patterning plasma processes studied by energy filtered and analytical scanning TEM. Microelectron. Eng. 84(3), 517 (2007).CrossRefGoogle Scholar
Kunnen, E., Barkema, G., Maes, C., Shamiryan, D., Urbanowicz, A., Struyf, H., and Baklanov, M.: Integrated diffusion–recombination model for describing the logarithmic time dependence of plasma damage in porous low-k materials. Microelectron. Eng. 88(5), 631 (2011).CrossRefGoogle Scholar
Worsley, M.A., Bent, S.F., Gates, S.M., Fuller, N.C.M., Volksen, W., Steen, M., and Dalton, T.: Effect of plasma interactions with low-κ films as a function of porosity, plasma chemistry, and temperature. J. Vac. Sci. Technol., B 23(2), 395 (2005).CrossRefGoogle Scholar
Louveau, O., Bourlot, C., Marfoure, A., Kalinovski, I., Su, J., Hills, G., and Louis, D.: Dry ashing process evaluation for porous ULK films. Microelectron. Eng. 7374, 351 (2004).CrossRefGoogle Scholar
Singh, K.J.: Unique size-dependent challenges for BEOL cleans in the patterning of sub-20 nm features. In Solid State Phenomena, Vol. 195, Mertens, P., Meuris, M., and Heyns, M., eds.; Zurich: Trans Tech Publications, 2013; p. 103.Google Scholar
Chawla, J., Singh, K., Myers, A., Michalak, D., Schenker, R., Jezewski, C., Krist, B., Gstrein, F., Indukuri, T., and Yoo, H.: Patterning challenges in the fabrication of 12 nm half-pitch dual damascene copper ultra low-k interconnects. In SPIE Advanced Lithography, International Society for Optics and Photonics: 2014; p. 905404.Google Scholar
Darnon, M., Chevolleau, T., Joubert, O., Maitrejean, S., Barbe, J.C., and Torres, J.: Undulation of sub-100nm porous dielectric structures: A mechanical analysis. Appl. Phys. Lett. 91(19), 194103 (2007).CrossRefGoogle Scholar
Stan, G., Ciobanu, C.V., Levin, I., Yoo, H.J., Myers, A., Singh, K.J., Jezewski, C., Miner, B., and King, S.: Nanoscale buckling of ultrathin low-k dielectric lines during hard-mask patterning. Nano Lett. 15(6), 38453850 (2015).CrossRefGoogle ScholarPubMed
Chawla, J.S., Chebiam, R., Akolkar, R., Allen, G., Carver, C.T., Clarke, J.S., Gstrein, F., Harmes, M., Indukuri, T., Jezewski, C., Krist, B., Lang, H., Myers, A., Schenker, R., Singh, K.J., Turkot, R., and Yoo, H.J.: Demonstration of a 12 nm-half-pitch copper ultralow-k interconnect process. In IEEE International Interconnect Technology Conference (IITC), IEEE: 2013; p. 1.Google Scholar
Hamioud, K., Arnal, V., Farcy, A., Jousseaume, V., Zenasni, A., Icard, B., Pradelles, J., Manakli, S., Brun, P., and Imbert, G.: 32 nm node BEOL integration with an extreme low-k porous SiOCH dielectric k = 2.3. Microelectron. Eng. 87(3), 316 (2010).CrossRefGoogle Scholar
Fayolle, M., Passemard, G., Louveau, O., Fusalba, F., and Cluzel, J.: Challenges of back end of the line for sub 65 nm generation. Microelectron. Eng. 70(2–4), 255 (2003).CrossRefGoogle Scholar
Rodriguez, O.R., Gill, W.N., Plawsky, J.L., Tsui, T.Y., and Grunow, S.: Study of Cu diffusion in porous dielectrics using secondary-ion-mass spectrometry. J. Appl. Phys. 98(12), 123514 (2005).CrossRefGoogle Scholar
Fayolle, M., Jousseaume, V., Assous, M., Tabouret, E., Le Cornec, C., Haumesser, P.H., Leduc, P., Feldis, H., Louveau, O., Passemard, G., and Fusalba, F.: Cu/ULK integration using a post integration porogen removal approach. In Proceedings of the IEEE 2004 International Interconnect Technology Conference, IEEE: 2004; p. 208.Google Scholar
Frot, T., Volksen, W., Purushothaman, S., Bruce, R., and Dubois, G.: Application of the protection/deprotection strategy to the science of porous materials. Adv. Mater. 23(25), 2828 (2011).CrossRefGoogle Scholar
Frot, T., Volksen, W., Purushothaman, S., Bruce, R.L., Magbitang, T., Miller, D.C., Deline, V.R., and Dubois, G.: Post porosity plasma protection: Scaling of efficiency with porosity. Adv. Funct. Mater. 22(14), 3043 (2012).CrossRefGoogle Scholar
Zhang, L., Ljazouli, R., Lefaucheux, P., Tillocher, T., Dussart, R., Mankelevich, Y.A., de Marneffe, J-F., de Gendt, S., and Baklanov, M.R.: Low damage cryogenic etching of porous organosilicate low-k materials using SF6/O2/SiF4. ECS J. Solid State Sci. Technol. 2(6), N131 (2013).CrossRefGoogle Scholar
Kayaba, Y., Tanaka, H., Suzuki, T., Kohmura, K., and Ono, S.S.: Selective formation of an ultra-thin pore seal on mesorporous low-k for a copper dual damascene structure. In IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), IEEE: 2014; p. 261.CrossRefGoogle Scholar
Jiang, Y-B., Liu, N., Gerung, H., Cecchi, J.L., and Brinker, C.J.: Nanometer-thick conformal pore sealing of self-assembled mesoporous silica by plasma-assisted atomic layer deposition. J. Am. Chem. Soc. 128(34), 11018 (2006).CrossRefGoogle ScholarPubMed
Moon, B.K., Iijima, T., Malhotra, S., Simon, A., Shaw, T., Ryan, T., Labelle, C., Fuller, N., Bolom, T., Dunn, D., Flaitz, P., Mehta, S., Inoue, K., and McGahay, V.: Integration of ALD-TaN Liners on nanoporous dielectrics. MRS Online Proc. Libr. 863, 16 (2005).CrossRefGoogle Scholar
Puyrenier, W., Rouessac, V., Broussous, L., Rébiscoul, D., and Ayral, A.: Effect of plasma treatments on a porous low-k material—Study of pore sealing. Microporous Mesoporous Mater. 106(1–3), 40 (2007).CrossRefGoogle Scholar
Fu, L., Su, M., Kuechenmeister, F., and Huang, W.: Chip package interaction (CPI) reliability of Cu/low-k/ultra-low-k interconnect. In 16th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits, IEEE: 2009; p. 691.Google Scholar
Mosig, K., Jacobs, T., Brennan, K., Rasco, M., Wolf, J., and Augur, R.: Integration challenges of porous ultra low-k spin-on dielectrics. Microelectron. Eng. 64(1–4), 11 (2002).CrossRefGoogle Scholar
Grill, A.: Plasma enhanced chemical vapor deposited SiCOH dielectrics: From low-k to extreme low-k interconnect materials. J. Appl. Phys. 93(3), 1785 (2003).CrossRefGoogle Scholar
Jousseaume, V., Rolland, G., Babonneau, D., and Simon, J.P.: Influence of polymer porogens on the porosity and mechanical properties of spin coated ultra low k dielectrics. Thin Solid Films 517(15), 4413 (2009).CrossRefGoogle Scholar
Padovani, A.M., Rhodes, L., Allen, S.A.B., and Kohl, P.A.: Chemically bonded porogens in methylsilsesquioxane I. Structure and bonding. J. Electrochem. Soc. 149(12), F161 (2002).CrossRefGoogle Scholar
Volksen, W., Magbitang, T.P., Miller, R.D., Purushothaman, S., Cohen, S.A., Nakagawa, H., Nobe, Y., Kokubo, T., and Dubois, G.J.: A manufacturing grade, porous oxycarbosilane spin-on dielectric candidate with K ≤ 2.0. J. Electrochem. Soc. 158(7), G155 (2011).CrossRefGoogle Scholar
Favennec, L., Jousseaume, V., Rouessac, V., Fusalba, F., Durand, J., and Passemard, G.: Porous extreme low κ (ELκ) dielectrics using a PECVD porogen approach. Mater. Sci. Semicond. Process. 7(4–6), 277 (2004).CrossRefGoogle Scholar
Urbanowicz, A.M., Vanstreels, K., Verdonck, P., Shamiryan, D., De Gendt, S., and Baklanov, M.R.: Improving mechanical robustness of ultralow-k SiOCH plasma enhanced chemical vapor deposition glasses by controlled porogen decomposition prior to UV-hardening. J. Appl. Phys. 107(10), 104122 (2010).CrossRefGoogle Scholar
Seino, M., Wang, W., Lofgreen, J.E., Puzzo, D.P., Manabe, T., and Ozin, G.A.: Low-k periodic mesoporous organosilica with air walls: POSS-PMO. J. Am. Chem. Soc. 133(45), 18082 (2011).CrossRefGoogle ScholarPubMed
Hatton, B., Landskron, K., Whitnall, W., Perovic, D., and Ozin, G.A.: Past, present, and future of periodic mesoporous organosilicas—The PMOs. Acc. Chem. Res. 38(4), 305 (2005).CrossRefGoogle ScholarPubMed
Marsik, P., Verdonck, P., De Roest, D., and Baklanov, M.R.: Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation. Thin Solid Films 518(15), 4266 (2010).CrossRefGoogle Scholar
Baklanov, M.R., Zhao, L., Besien, E.V., and Pantouvaki, M.: Effect of porogen residue on electrical characteristics of ultra low-k materials. Microelectron. Eng. 88(6), 990 (2011).CrossRefGoogle Scholar
Urbanowicz, A.M., Vanstreels, K., Shamiryan, D., De Gendt, S., and Baklanov, M.R.: Effect of porogen residue on chemical, optical, and mechanical properties of CVD SiCOH low-k materials. Electrochem. Solid-State Lett. 12(8), H292 (2009).CrossRefGoogle Scholar
Verdonck, P., De Roest, D., Kaneko, S., Caluwaerts, R., Tsuji, N., Matsushita, K., Kemeling, N., Travaly, Y., Sprey, H., Schaekers, M., and Beyer, G.: Characterization and optimization of porogen-based PECVD deposited extreme low-k materials as a function of UV-cure time. Surf. Coat. Technol. 201(22–23), 9264 (2007).CrossRefGoogle Scholar
Zenasni, A., Jousseaume, V., Holliger, P., Favennec, L., Gourhant, O., Maury, P., and Gerbaud, G.: The role of ultraviolet radiation during ultralow k films curing: Strengthening mechanisms and sacrificial porogen removal. J. Appl. Phys. 102(9), 094107 (2007).CrossRefGoogle Scholar
Sinha, H., Antonelli, G.A., Jiang, G., Nishi, Y., and Shohet, J.L.: Effects of vacuum ultraviolet radiation on deposited and ultraviolet-cured low-k porous organosilicate glass. J. Vac. Sci. Technol., A. 29(3), 030602 (2011).CrossRefGoogle Scholar
Mickler, E., Ching-Te, L., Krishnan, A.T., Changming, J., and Manoj, J.: A charge damage study using an electron beam low k treatment. In Proceedings of the IEEE 2004 International Interconnect Technology Conference, IEEE: 2004; p. 190.Google Scholar
Kloster, G., Scherban, T., Xu, G., Blaine, J., Sun, B., and Zhou, Y.: Porosity effects on low-k dielectric film strength and interfacial adhesion. In Proceedings of the IEEE 2002 International Interconnect Technology Conference, IEEE: 2002; p. 242.Google Scholar
Yoda, T., Fujita, K., Miyajima, H., Nakata, R., Miyashita, N., and Hayasaka, N.: Properties of high-performance porous SiOC low-k film fabricated using electron-beam curing. Jpn. J. Appl. Phys. 44(6R), 3872 (2005).CrossRefGoogle Scholar
Owada, T., Ohara, N., Watatani, H., Kouno, T., Kudo, H., Ochimizu, H., Sakoda, T., Asami, N., Ohkura, Y., Fukuyama, S., Tsukune, A., Nakaishi, M., Nakamura, T., Nara, Y., and Kase, M.: Advanced BEOL integration using porous low-k (k=2.25) material with charge damage-less electron beam cure technique. In IEEE International Interconnect Technology Conference, IEEE: 2009; p. 149.Google Scholar
Dubois, G., Volksen, W., Magbitang, T., Sherwood, M., Miller, R., Gage, D., and Dauskardt, R.: Superior mechanical properties of dense and porous organic/inorganic hybrid thin films. J. Sol-Gel Sci. Technol. 48(1–2), 187 (2008).CrossRefGoogle Scholar
Min, S-K., Moon, B., Kim, H., and Rhee, H-W.: Cyclodextrin-based reactive porogen for nanoporous ultra-low dielectrics. Curr. Appl. Phys. 11(1), S313 (2011).CrossRefGoogle Scholar
Yim, J.H., Seon, J.B., Jeong, H.D., Pu, L.S., Baklanov, M.R., and Gidley, D.W.: Morphological control of nanoporous films by the use of functionalized cyclodextrins as porogens. Adv. Funct. Mater. 14(3), 277 (2004).CrossRefGoogle Scholar
Baklanov, M. and Mogilnikov, K.: Non-destructive characterisation of porous low-k dielectric films. Microelectron. Eng. 64(1), 335 (2002).CrossRefGoogle Scholar
Kim, B., Son, J., and Ko, M.: The effect of porogen on physical properties in MTMS–BTMSE spin-on organosilicates. J. Mater. Sci. 42(14), 5381 (2007).CrossRefGoogle Scholar
Hurley, P.T., Du, L.-S., McDaniel, P.L., Peterson, B.K., Weigel, S.J., Haas, M.K., Vrtis, R.N., Sinatore, D., Bitner, M.D., and Theodorou, K.E.: Formation of porous organosilicate glasses produced by PECVD and UV treatment. In Materials, Processes, Integration and Reliability in Advanced Interconnect for Micro- and Nanoelectronics, ed. Lin, Q., Ryan, E.T., Wu, W-L., Yoon, D.Y. (Mater. Res. Soc. Symp. Proc. 990; New York: Cambridge University Press, 2007), p. 3.Google Scholar
Iacopi, F., Travaly, Y., Eyckens, B., Waldfried, C., Abell, T., Guyer, E.P., Gage, D.M., Dauskardt, R.H., Sajavaara, T., Houthoofd, K., Grobet, P., Jacobs, P., and Maex, K.: Short-ranged structural rearrangement and enhancement of mechanical properties of organosilicate glasses induced by ultraviolet radiation. J. Appl. Phys. 99(5), 053511 (2006).CrossRefGoogle Scholar
Guo, X., Jakes, J.E., Nichols, M.T., Banna, S., Nishi, Y., and Shohet, J.L.: The effect of water uptake on the mechanical properties of low-k organosilicate glass. J. Appl. Phys. 114(8), 084103 (2013).CrossRefGoogle Scholar
Baklanov, M., Mogilnikov, K., Polovinkin, V., and Dultsev, F.: Determination of pore size distribution in thin films by ellipsometric porosimetry. J. Vac. Sci. Technol. B 18(3), 1385 (2000).CrossRefGoogle Scholar
Licitra, C., Bouyssou, R., Chevolleau, T., and Bertin, F.: Multi-solvent ellipsometric porosimetry analysis of plasma-treated porous SiOCH films. Thin Solid Films 518(18), 5140 (2010).CrossRefGoogle Scholar
Bonilla, G., Shaw, T.M., Liniger, E.G., Cohen, S., Gates, S.M., Grill, A., Shobha, H., Penny, C.J., and Ryan, E.T.: Tailoring dielectric materials for robust BEOL reliability. In IEEE International Reliability Physics Symposium (IRPS), IEEE: 2012; p. 3A.1.1.Google Scholar
Baklanov, M.R., Mogilnikov, K.P., and Le, Q.T.: Quantification of processing damage in porous low dielectric constant films. Microelectron. Eng. 83(11), 2287 (2006).CrossRefGoogle Scholar
Puyrenier, W., Rouessac, V., Broussous, L., Rébiscoul, D., and Ayral, A.: Characterization of the impact of plasma treatments and wet cleaning on a porous low k material. Microelectron. Eng. 83(11–12), 2314 (2006).CrossRefGoogle Scholar
Rébiscoul, D., Puyrenier, B., Broussous, L., Louis, D., and Passemard, G.: Study of the post-etch cleaning compatibility with dense and porous ULK materials—Characterization of the process impact. Microelectron. Eng. 83(11–12), 2319 (2006).CrossRefGoogle Scholar
Noguchi, J.: Dominant factors in TDDB degradation of Cu interconnects. IEEE Trans. Electron Devices 52(8), 1743 (2005).CrossRefGoogle Scholar
Bhanap, A., Ramos, T., Camarena, A., and Naman, A.: Repairing process-induced damage to porous low-k ILDs by post-ash treatment. In Proceedings of Advanced Metallization Conference, Ray, G.W., Smy, T.S., Ohta, T., Tsujimura, M., eds.; MRS: 2003.Google Scholar
Broussous, L., Puyrenier, W., Rebiscoul, D., Rouessac, V., and Ayral, A.: Porosity and structure evolution of a SiOCH low k material during post-etch cleaning process. Microelectron. Eng. 84(11), 2600 (2007).CrossRefGoogle Scholar
Jochen, M. and James, E.B.: An analytic model for atom diffusion and heterogeneous recombination in a porous medium. J. Phys. D: Appl. Phys. 39(17), 3849 (2006).Google Scholar
Matyka, M., Khalili, A., and Koza, Z.: Tortuosity-porosity relation in porous media flow. Phys. Rev. E. 78(2), 026306 (2008).CrossRefGoogle ScholarPubMed
Sugahara, S., Usami, K-i., and Matsumura, M.: A proposed organic-silica film for inter-metal-dielectric application. Jpn. J. Appl. Phys. 38(3R), 1428 (1999).CrossRefGoogle Scholar
Ryan, E.T., Gates, S.M., Grill, A., Molis, S., Flaitz, P., Arnold, J., Sankarapandian, M., Cohen, S.A., Ostrovski, Y., and Dimitrakopoulos, C.: Property modifications of nanoporous pSiCOH dielectrics to enhance resistance to plasma-induced damage. J. Appl. Phys. 104(9), 094109 (2008).CrossRefGoogle Scholar
Inoue, N., Ito, F., Shobha, H., Gates, S., Ryan, E.T., Virwani, K., Klvmko, N., Madan, A., Tai, L., Adams, E., Cohen, S., Liniger, E., Hu, C.K., Mignot, Y., Grill, A., and Spooner, T.: UV cure impact on robust low-k with sub-nm pores and high carbon content for high performance Cu/low-k BEOL modules. In IEEE International Interconnect Technology Conference (IITC), IEEE: 2013; p. 1.Google Scholar
Gates, S.M., Dubois, G., Ryan, E.T., Grill, A., Liu, M., and Gidley, D.: Adjusting the Skeleton and pore structure of porous SiCOH dielectrics. J. Electrochem. Soc. 156(10), G156 (2009).CrossRefGoogle Scholar
Usami, K.-I., Sugahara, S., Kobayashi, M., Sumimura, K., Hattori, T., and Matsumura, M.: Preparation and properties of silica films with higher-alkyl groups. J. Non-Cryst. Solids. 260(3), 199207 (1999).CrossRefGoogle Scholar
Goethals, F., Ciofi, I., Madia, O., Vanstreels, K., Baklanov, M.R., Detavernier, C., Van Der Voort, P., and Van Driessche, I.: Ultra-low-k cyclic carbon-bridged PMO films with a high chemical resistance. J. Mater. Chem. 22(17), 8281 (2012).CrossRefGoogle Scholar
Brinker, C.J. and Scherer, G.W.: Sol-Gel Science: The Physics and Chemistry of Sol-gel Processing (San Diego: Academic Press, 2013).Google Scholar
Jousseaume, V., Favennec, L., Zenasni, A., and Gourhant, O.: Porous ultra low k deposited by PECVD: From deposition to material properties. Surf. Coat. Technol. 201(22–23), 9248 (2007).CrossRefGoogle Scholar
Yim, J-H., Baklanov, M.R., Gidley, D.W., Peng, H., Jeong, H-D., and Sun Pu, L.: Pore structure of modified cyclic silsesquioxane thin films made porous using a cyclodextrins-based porogen. J. Phys. Chem. B 108(26), 8953 (2004).CrossRefGoogle Scholar
Zallen, R.: The Physics of Amorphous Solids, in the Physics of Amorphous Solids (New York: John Wiley & Sons, Inc., 1983).CrossRefGoogle Scholar
Ciaramella, F., Jousseaume, V., Maitrejean, S., Verdier, M., Remiat, B., Zenasni, A., and Passemard, G.: Crosslinking impact of mesoporous MSQ films used in microelectronic interconnections on mechanical properties. Thin Solid Films 495(1–2), 124 (2006).CrossRefGoogle Scholar
Gourhant, O., Gerbaud, G., Zenasni, A., Favennec, L., Gonon, P., and Jousseaume, V.: Crosslinking of porous SiOCH films involving Si–O–C bonds: Impact of deposition and curing. J. Appl. Phys. 108(12), 124105 (2010).CrossRefGoogle Scholar
Trujillo, N.J., Wu, Q., and Gleason, K.K.: Ultralow dielectric constant Tetravinyltetramethylcyclotetrasiloxane films deposited by Initiated chemical vapor deposition (iCVD). Adv. Funct. Mater. 20(4), 607 (2010).CrossRefGoogle Scholar
Soleimani Dorcheh, A. and Abbasi, M.H.: Silica aerogel; synthesis, properties and characterization. J. Mater. Process. Technol. 199(1–3), 10 (2008).CrossRefGoogle Scholar
Baklanov, M.R., Kondoh, E., Lin, E.K., Gidley, D.W., Lee, H.J., Mogilnikov, K.P., and Sun, J.N.: Comparative study of porous SOG films with different non-destructive instrumentation. In Proceedings of the IEEE 2001 International Interconnect Technology Conference, IEEE: 2001; p. 189.Google Scholar
Tajima, N., Ohno, T., Hamada, T., Yoneda, K., Kondo, S., Kobayashi, N., Shinriki, M., Inaishi, Y., Miyazawa, K., Sakota, K., Hasaka, S., and Inoue, M.: Carbon-doped silicon oxide films with hydrocarbon network bonds for low-k dielectrics: Theoretical investigations. Jpn. J. Appl. Phys. 46(9R), 5970 (2007).CrossRefGoogle Scholar
Dubois, G., Magbitang, T., Volksen, W., Simonyi, E.E., and Miller, R.D.: New spin-on oxycarbosilane low-k dielectric materials with exceptional mechanical properties. In Proceedings of the IEEE 2005 International Interconnect Technology Conference, IEEE: 2005: p. 226.Google Scholar
Li, H., Lin, Y., Tsui, T.Y., and Vlassak, J.J.: The effect of porogen loading on the stiffness and fracture energy of brittle organosilicates. J. Mater. Res. 24(01), 107 (2009).CrossRefGoogle Scholar
Vanstreels, K., Wu, C., Verdonck, P., and Baklanov, M.R.: Intrinsic effect of porosity on mechanical and fracture properties of nanoporous ultralow-k dielectrics. Appl. Phys. Lett. 101(12), 123109 (2012).CrossRefGoogle Scholar
Vanstreels, K., Wu, C., Gonzalez, M., Schneider, D., Gidley, D., Verdonck, P., and Baklanov, M.R.: Effect of pore structure of nanometer scale porous films on the measured elastic modulus. Langmuir 29(38), 12025 (2013).CrossRefGoogle ScholarPubMed
Vanstreels, K., Wu, C., and Baklanov, M.R.: Mechanical stability of porous low-k dielectrics. ECS J. Solid State Sci. Technol. 4(1), N3058 (2015).CrossRefGoogle Scholar
Takada, S., Hata, N., Seino, Y., Fujii, N., and Kikkawa, T.: Dependences of Young's modulus of porous silica low dielectric constant films on skeletal structure and porosity. J. Appl. Phys. 100(12), 123512 (2006).CrossRefGoogle Scholar
Urbanowicz, A.M., Vanstreels, K., Verdonck, P., Van Besien, E., Christos, T., Shamiryan, D., De Gendt, S., and Baklanov, M.R.: Effect of UV wavelength on the hardening process of porogen-containing and porogen-free ultralow-k plasma-enhanced chemical vapor deposition dielectrics. J. Vac. Sci. Technol., B 29(3), 032201 (2011).CrossRefGoogle Scholar
Landskron, K., Hatton, B.D., Perovic, D.D., and Ozin, G.A.: Periodic mesoporous organosilicas containing interconnected [Si(CH2)]3 rings. Science 302(5643), 266 (2003).CrossRefGoogle Scholar
Fan, H., Hartshorn, C., Buchheit, T., Tallant, D., Assink, R., Simpson, R., Kissel, D.J., Lacks, D.J., Torquato, S., and Brinker, C.J.: Modulus-density scaling behaviour and framework architecture of nanoporous self-assembled silicas. Nat. Mater. 6(6), 418 (2007).CrossRefGoogle ScholarPubMed
de Theije, F.K., Balkenende, A.R., Verheijen, M.A., Baklanov, M.R., Mogilnikov, K.P., and Furukawa, Y.: Structural characterization of mesoporous organosilica films for ultralow-k dielectrics. J. Phys. Chem. B 107(18), 4280 (2003).CrossRefGoogle Scholar
Wang, W., Grozea, D., Kohli, S., Perovic, D.D., and Ozin, G.A.: Water Repellent periodic mesoporous organosilicas. ACS Nano 5(2), 1267 (2011).CrossRefGoogle ScholarPubMed
Wills, A.W., Michalak, D.J., Ercius, P., Rosenberg, E.R., Perciano, T., Ushizima, D., Runser, R., and Helms, B.A.: Block copolymer packing limits and interfacial reconfigurability in the assembly of periodic mesoporous organosilicas. Adv. Funct. Mater. 25(26), 4120 (2015).CrossRefGoogle Scholar
Bétard, A. and Fischer, R.A.: Metal–organic framework thin films: From fundamentals to applications. Chem. Rev. 112(2), 1055 (2012).CrossRefGoogle ScholarPubMed
Wang, Z., Liu, J., Grosjean, S., Wagner, D., Guo, W., Gu, Z., Heinke, L., Gliemann, H., Bräse, S., and Wöll, C.: Monolithic, crystalline MOF Coating: An excellent patterning and photoresist material. ChemNanoMat 1(5), 338345 (2015).CrossRefGoogle Scholar
Eslava, S., Zhang, L., Esconjauregui, S., Yang, J., Vanstreels, K., Baklanov, M.R., and Saiz, E.: Metal-organic framework ZIF-8 films As low-κ dielectrics in microelectronics. Chem. Mater. 25(1), 27 (2013).CrossRefGoogle Scholar
Thomas, A.: Functional materials: From hard to soft porous frameworks. Angew. Chem., Int. Ed. 49(45), 8328 (2010).CrossRefGoogle ScholarPubMed
Roberts, A.P. and Garboczi, E.J.: Computation of the linear elastic properties of random porous materials with a wide variety of microstructure. Proc. R. Soc. Lond. A Math Phys. Sci. 458(2021), 1033 (2002).CrossRefGoogle Scholar
Boccaccini, A.R. and Fan, Z.: A new approach for the Young's modulus-porosity correlation of ceramic materials. Ceram. Int. 23(3), 239 (1997).CrossRefGoogle Scholar
Gitman, I.M., Askes, H., and Sluys, L.J.: Representative volume: Existence and size determination. Eng. Fract. Mech. 74(16), 2518 (2007).CrossRefGoogle Scholar
Ostoja-Starzewski, M.: Material spatial randomness: From statistical to representative volume element. Probabilist. Eng. Mech. 21(2), 112 (2006).CrossRefGoogle Scholar
Chen, Z., Wang, X., Giuliani, F., and Atkinson, A.: Microstructural characteristics and elastic modulus of porous solids. Acta Mater. 89, 268 (2015).CrossRefGoogle Scholar
Marsik, P., Urbanowicz, A.M., Verdonck, P., De Roest, D., Sprey, H., and Baklanov, M.R.: Effect of ultraviolet curing wavelength on low-k dielectric material properties and plasma damage resistance. Thin Solid Films 519(11), 3619 (2011).CrossRefGoogle Scholar
Guan, M., Wang, W., Henderson, E.J., Dag, Ö., Kübel, C., Chakravadhanula, V.S.K., Rinck, J., Moudrakovski, I.L., Thomson, J., McDowell, J., Powell, A.K., Zhang, H., and Ozin, G.A.: Assembling Photoluminescent silicon Nanocrystals into periodic mesoporous organosilica. J. Am. Chem. Soc. 134(20), 8439 (2012).CrossRefGoogle ScholarPubMed
Lu, G., Farha, O.K., Zhang, W., Huo, F., and Hupp, J.T.: Engineering ZIF-8 thin films for hybrid MOF-based devices. Adv. Mater. 24(29), 3970 (2012).CrossRefGoogle ScholarPubMed
Tan, J.C., Bennett, T.D., and Cheetham, A.K.: Chemical structure, network topology, and porosity effects on the mechanical properties of zeolitic imidazolate frameworks. Proc. Natl. Acad. Sci. U. S. A. 107(22), 9938 (2010).CrossRefGoogle ScholarPubMed
Demessence, A., Boissiere, C., Grosso, D., Horcajada, P., Serre, C., Ferey, G., Soler-Illia, G.J.A.A., and Sanchez, C.: Adsorption properties in high optical quality nanoZIF-8 thin films with tunable thickness. J. Mater. Chem. 20(36), 7676 (2010).CrossRefGoogle Scholar
Park, K.S., Ni, Z., Côté, A.P., Choi, J.Y., Huang, R., Uribe-Romo, F.J., Chae, H.K., O’Keeffe, M., and Yaghi, O.M.: Exceptional chemical and thermal stability of zeolitic imidazolate frameworks. Proc. Natl. Acad. Sci. U. S. A. 103(27), 10186 (2006).CrossRefGoogle ScholarPubMed
Hermes, S., Schröder, F., Chelmowski, R., Wöll, C., and Fischer, R.A.: Selective nucleation and growth of metal−organic open framework thin films on patterned COOH/CF3-terminated self-assembled monolayers on Au(111). J. Am. Chem. Soc. 127(40), 13744 (2005).CrossRefGoogle ScholarPubMed
Gliemann, H. and Wöll, C.: Epitaxially grown metal-organic frameworks. Mater. Today 15(3), 110 (2012).CrossRefGoogle Scholar
Heinke, L., Tu, M., Wannapaiboon, S., Fischer, R.A., and Wöll, C.: Surface-mounted metal-organic frameworks for applications in sensing and separation. Microporous Mesoporous Mater. 216, 200 (2015).CrossRefGoogle Scholar
Bielefeld, J.D.: Personal communication, 2015.Google Scholar