Hostname: page-component-848d4c4894-5nwft Total loading time: 0 Render date: 2024-06-12T03:09:18.649Z Has data issue: false hasContentIssue false

Top-down method to introduce ultra-high elastic strain

Published online by Cambridge University Press:  14 February 2017

Thomas Zabel*
Affiliation:
Laboratory for Micro- and Nanotechnology, Paul Scherrer Institut, 5232 Villigen, Switzerland
Richard Geiger
Affiliation:
Laboratory for Micro- and Nanotechnology, Paul Scherrer Institut, 5232 Villigen, Switzerland; and Institute for Quantum Electronics, ETH Zürich, 8093 Zürich, Switzerland
Esteban Marin
Affiliation:
Laboratory for Micro- and Nanotechnology, Paul Scherrer Institut, 5232 Villigen, Switzerland
Elisabeth Müller
Affiliation:
Electron Microscopy Facility, Laboratory of Biomolecular Research, Paul Scherrer Institut, 5232 Villigen, Switzerland
Ana Diaz
Affiliation:
Paul Scherrer Institut, 5232 Villigen, Switzerland
Christopher Bonzon
Affiliation:
Institute for Quantum Electronics, ETH Zürich, 8093 Zürich, Switzerland
Martin J. Süess
Affiliation:
Institute for Quantum Electronics, ETH Zürich, 8093 Zürich, Switzerland
Ralph Spolenak
Affiliation:
Department of Materials, ETH Zürich, 8093 Zürich, Switzerland
Jérôme Faist
Affiliation:
Institute for Quantum Electronics, ETH Zürich, 8093 Zürich, Switzerland
Hans Sigg
Affiliation:
Laboratory for Micro- and Nanotechnology, Paul Scherrer Institut, 5232 Villigen, Switzerland
*
a) Address all correspondence to this author. e-mail: thomas.zabel@psi.ch
Get access

Abstract

Elastic strain is an effective and thus widely used parameter to control and modify the electrical, optical, and magnetic properties of crystalline solid-state materials. It has a large impact on device performance and enables adjusting the materials functionality. Here, we promote a micromechanical strain enhancement technology to achieve ultra-high strain in semiconductors. The here presented suspended membranes enable the accurate control of the strain on a wafer-scale by standard top-down fabrication methods making it attractive for both device applications and also, thanks to the simplicity of the method, for fundamental research. This review aims at discussing the process of strain enhancement and its usage as an investigation platform for strain-related physical properties. Furthermore, we present design rules and a detailed analysis of fracture effects limiting the strain enhancement.

Type
Invited Paper
Copyright
Copyright © Materials Research Society 2017 

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

Footnotes

Contributing Editor: Mathias Göken

References

REFERENCES

Moss, S.J. and Ledwith, A.: Chemistry of the Semiconductor Industry (Chapman and Hall, New York, 1987).Google Scholar
Shklovskii, B.I. and Efros, A.L.: Electronic Properties of Doped Semiconductors (Springer, Berlin, 2012).Google Scholar
Schetzina, J.F. and McKelvey, J.P.: Strain dependence of the minority carrier mobility in p-type germanium. Phys. Rev. Lett. 181, 1191 (1969).Google Scholar
Fischetti, M.V. and Laux, S.E.: Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys. J. Appl. Phys. 80, 2234 (1996).Google Scholar
Chen, Y.T., Lan, H.S., Hsu, W., Fu, Y.C., Lin, J.Y., and Liu, C.W.: Strain response of high mobility germanium n-channel metal-oxide-semiconductor field-effect transistors on (001) substrates. Appl. Phys. Lett. 99, 022106 (2011).Google Scholar
Geiger, R., Zabel, T., and Sigg, H.: Group IV direct band gap photonics: Methods, challenges, and opportunities. Front. Mater. 2, 52 (2015).Google Scholar
Ahn, D. and Chuang, S.L.: The theory of strained-layer quantum-well lasers with bandgap renormalization. IEEE J. Quantum Electron. 30, 350 (1994).Google Scholar
Ahn, D. and Chuang, S.L.: Optical gain in a strained-layer quantum-well laser. IEEE J. Quantum Electron. 24, 2400 (1988).Google Scholar
Garone, P.M., Venkataraman, V., and Sturm, J.C.: Hole confinement MOS-gated Ge x Si1−x /Si heterostructures. IEEE Electron Device Lett. 12, 230 (1991).Google Scholar
Nanver, L.K., Jovanović, V., Biasotto, C., Moers, J., Grützmacher, D., Zhang, J.J., Hrauda, N., Stoffel, M., Pezzoli, F., Schmidt, O.G., Miglio, L., Kosina, H., Marzegalli, A., Vastola, G., Mussler, G., Stangl, J., Bauer, G., van der Cingel, J., and Bonera, E.: Integration of MOSFETs with SiGe dots as stressor material. Solid State Electrochem. 60, 7583 (2011).Google Scholar
Li, X., Maute, K., Dunn, M.L., and Yang, R.: Strain effects on the thermal conductivity of nanostructures. Phys. Rev. B: Condens. Matter Mater. Phys. 81, 245318 (2010).Google Scholar
Huang, B., Yu, J., and Wei, S.H.: Strain control of magnetism in graphene decorated by transition-metal atoms. Phys. Rev. B: Condens. Matter Mater. Phys. 84, 075415 (2011).Google Scholar
Braun, A., Briggs, K.M., and Böni, P.: Analytical solution to Matthews’ and Blakeslee’s critical dislocation formation thickness of epitaxially grown thin films. J. Cryst. Growth 241, 231234 (2002).Google Scholar
Matthews, J.W. and Blakeslee, A.E.: Defects in epitaxial multilayers: I. Misfit dislocations. J. Cryst. Growth 27, 118125 (1974).Google Scholar
Schorer, R., Friess, E., Eberl, K., and Abstreiter, G.: Structural stability of short-period Si/Ge superlattices studied with Raman spectroscopy. Phys. Rev. B: Condens. Matter Mater. Phys. 44, 1772 (1991).Google Scholar
Tan, P.H., Brunner, K., Bougeard, D., and Abstreiter, G.: Raman characterization of strain and composition in small-sized self-assembled Si/Ge dots. Phys. Rev. B: Condens. Matter Mater. Phys. 68, 125302 (2003).Google Scholar
Brunner, K.: Si/Ge nanostructures. Rep. Prog. Phys. 65, 2772 (2002).Google Scholar
Ong, P.L., Wei, J., Tay, F.E.H., and Iliescu, C.: A new fabrication method for low stress PECVD—SiN(x) layers. J. Phys.: Conf. Ser. 34, 764 (2006).Google Scholar
El Kurdi, M., Prost, M., Ghrib, A., Checoury, X., Beaudoin, G., Sagnes, I., Picardi, G., Ossikovski, R., and Boucaud, P.: Direct band gap germanium microdisks obtained with silicon nitride stressor layers. ACS Photonics 3, 443448 (2016).CrossRefGoogle Scholar
Capellini, G., Kozlowski, G., Yamamoto, Y., Lisker, M., Wenger, C., Niu, G., Zaumseil, P., Tillack, B., Ghrib, A., de Kersauson, M., El Kurdi, M., Boucaud, P., and Schroeder, T.: Strain analysis in SiN/Ge microstructures obtained via Si-complementary metal oxide semiconductor compatible approach. J. Appl. Phys. 113, 013513 (2013).CrossRefGoogle Scholar
Morin, P., Maitrejean, S., Allibert, F., Augendre, E., Liu, Q., Loubet, N., Grenouillet, L., Pofelski, A., Chen, K., Khakifirooz, A., Wacquez, R., Reboh, S., Bonnevialle, A., le Royer, C., Morand, Y., Kanyandekwe, J., Chanemougamme, D., Mignot, Y., Escarabajal, Y., Lherron, B., Chafik, F., Pilorget, S., Caubet, P., Vinet, M., Clement, L., Desalvo, B., Doris, B., and Kleemeier, W.: A review of the mechanical stressors efficiency applied to the ultra-thin body & buried oxide fully depleted silicon on insulator technology. Solid State Electrochem. 117, 100116 (2016).Google Scholar
Prost, M., El Kurdi, M., Ghrib, A., Sauvage, S., Checoury, X., Zerounian, N., Aniel, F., Beaudoin, G., Sagnes, I., Boeuf, F., and Boucaud, P.: Tensile-strained germanium microdisk electroluminescence. Opt. Express 23, 6722 (2015).Google Scholar
Bhaskar, U.K., Pardoen, T., Passi, V., and Raskin, J-P.: Piezoresistance of nano-scale silicon up to 2 GPa in tension. Appl. Phys. Lett. 102, 031911 (2013).Google Scholar
Haque, M.A. and Saif, M.T.A.: Microscale materials testing using MEMS actuators. J. Microelectromech. Syst. 10, 146 (2001).Google Scholar
Gravier, S., Coulombier, M., Safi, A., André, N., Boé, A., Raskin, J-P., and Pardoen, T.: New on-chip nanomechanical testing laboratory-applications to aluminum and polysilicon thin films. J. Microelectromech. Syst. 18, 555 (2009).Google Scholar
Minamisawa, R.A., Süess, M.J., Spolenak, R., Faist, J., David, C., Gobrecht, J., Bourdelle, K.K., and Sigg, H.: Top-down fabricated silicon nanowires under tensile elastic strain up to 4.5%. Nat. Commun. 3, 1096 (2012).Google Scholar
Süess, M.J., Geiger, R., Minamisawa, R.A., Schiefler, G., Frigerio, J., Chrastina, D., Isella, G., Spolenak, R., Faist, J., and Sigg, H.: Analysis of enhanced light emission from highly strained germanium microbridges. Nat. Photonics 7, 466472 (2013).Google Scholar
Davis, R.O.: Elasticity and Geomechanics (Cambridge University Press, New York, 1996).Google Scholar
Süess, M.J., Minamisawa, R.A., Geiger, R., Bourdelle, K.K., Sigg, H., and Spolenak, R.: Power-dependent Raman analysis of highly strained Si nanobridges. Nano Lett. 14, 12491254 (2014).Google Scholar
Gassenq, A., Tardif, S., Guilloy, K., Dias, G.O., Pauc, N., Duchemin, I., Rouchon, D., Hartmann, J.M., Widiez, J., Escalante, J., Niquet, Y.M., Geiger, R., Zabel, T., Sigg, H., Faist, J., Chelnokov, A., Rieutord, F., Reboud, V., and Calvo, V.: Accurate strain measurements in highly strained Ge microbridges. Appl. Phys. Lett. 108, 241902 (2016).Google Scholar
Sukhdeo, D.S., Nam, D., Kang, J-H., Brongersma, M.L., and Saraswat, K.C.: Direct bandgap germanium-on-silicon inferred from 5.7% 〈100〉 uniaxial tensile strain. Photon. Res. 2, A8 (2014).Google Scholar
Sukhdeo, D.S., Nam, D., Kang, J.H., and Brongersma, M.L.: Bandgap-customizable germanium using lithographically determined biaxial tensile strain for silicon-compatible optoelectronics. Opt. Express 23, 16740 (2015).Google Scholar
Gassenq, A., Guilloy, K., Dias, G.O., Pauc, N., Rouchon, D., Hartmann, J-M., Widiez, J., Tardif, S., Rieutord, F., Escalante, J., Duchemin, I., Niquet, Y.M., Geiger, R., Zabel, T., Sigg, H., Faist, J., Chelnokov, A., Reboud, V., and Calvo, V.: 1.9% bi-axial tensile strain in thick germanium suspended membranes fabricated in optical germanium-on-insulator substrates for laser applications. Appl. Phys. Lett. 107, 191904 (2015).Google Scholar
Stringfellow, G.B.: The importance of lattice mismatch in the growth of Ga x In1−x P epitaxial crystals. J. Appl. Phys. 43, 3455 (1972).Google Scholar
Jain, S.C., Willander, M., and Maes, H.: Stresses and strains in epilayers, stripes and quantum structures of III–V compound semiconductors. Semicond. Sci. Technol. 11, 641671 (1996).Google Scholar
Sailer, J., Wild, A., Lang, V., Siddiki, A., and Bougeard, D.: Quantum Hall resistance overshoot in two-dimensional (2D) electron gases: Theory and experiment. New J. Phys. 12, 113033 (2010).Google Scholar
Wild, A., Kierig, J., Sailer, J., Ager, J.W. III, Haller, E.E., Abstreiter, G., Ludwig, S., and Bougeard, D.: Few electron double quantum dot in an isotopically purified 28Si quantum well. Appl. Phys. Lett. 100, 143110 (2012).Google Scholar
Takagi, S., Mizuno, T., Tezuka, T., Sugiyama, N., Numata, T., Usada, K., Moriyama, Y., Nakaharai, S., Koga, J., Tanabe, A., and Maeda, T.: Fabrication and device characteristics of strained-Si-on-insulator (strained-SOI) CMOS. Appl. Surf. Sci. 224, 241247 (2004).Google Scholar
Milanovic, V.: Multilevel beam SOI-MEMS fabrication and applications. J. Microelectromech. Syst. 13, 1930 (2004).Google Scholar
Turner, K.T.: Fabricating strained silicon substrates using mechanical deformation during wafer bonding. ECS Trans. 16, 321328 (2008).Google Scholar
Geim, A.K.: Graphene: Status and prospects. Science 324, 15301534 (2009).Google Scholar
Geiger, R.: Direct Band Gap Germanium for Si-Compatible Lasing (ETH-Zürich, Zurich, 2016).Google Scholar
Süess, M.J.: Highly Strained Si and Ge Micro- and Nanobridges for Micro- and Optoelectronic Applications (ETH-Zürich, Zurich, 2014).Google Scholar
Etzelstorfer, T., Süess, M.J., Schiefler, G.L., Jacques, V.L.R., Carbone, D., Chrastina, D., Isella, G., Spolenak, R., Stangl, J., Sigg, H., and Diaz, A.: Scanning X-ray strain microscopy of inhomogeneously strained Ge micro-bridges. J. Synchrotron Radiat. 21, 111118 (2014).Google Scholar
Robach, O., Micha, J.S., Ulrich, O., Geaymond, O., Sicardy, O., Härtwig, J., and Rieutord, F.: A tunable multicolour rainbow’ filter for improved stress and dislocation density field mapping in polycrystals using X-ray Laue microdiffraction. Acta Crystallogr., Sect. A: Cryst. Phys., Diffr., Theor. Gen. Crystallogr. 69, 164170 (2013).Google Scholar
Tardif, S., Gassenq, A., Guilloy, K., Pauc, N., Dias, G.O., Hartmann, J-M., Widiez, J., Zabel, T., Marin, E., Sigg, H., Faist, J., Chelnokov, A., Reboud, V., Calvo, V., Micha, J.S., Robach, O., and Rieutord, F.: Lattice strain and tilt mapping in stressed Ge microstructures using X-ray Laue micro-diffraction and rainbow-filtering. J. Appl. Crystallogr. 49, 5 (2016).Google Scholar
Okada, Y. and Tokumaru, Y.: Precise determination of lattice parameter and thermal expansion coefficient of silicon between 300 and 1500 K. J. Appl. Phys. 56, 314 (1984).Google Scholar
Cannon, D.D., Liu, J., Ishikawa, Y., Wada, K., Danielson, D.T., Jongthammanurak, S., Michel, J., and Kimerling, L.C.: Tensile strained epitaxial Ge films on Si(100) substrates with potential application in L-band telecommunications. Appl. Phys. Lett. 84, 906908 (2004).Google Scholar
Singh, H.P.: Determination of thermal expansion of germanium, rhodium and iridium by X-rays. Acta Crystallogr., Sect. A: Cryst. Phys., Diffr., Theor. Gen. Crystallogr. 24, 469 (1968).Google Scholar
Geiger, R., Zabel, T., Marin, E., Gassenq, A., Hartmann, J-M., Widiez, J., Escalante, J., Guilloy, K., Pauc, N., Rouchon, D., Dias, G.O., Tardif, S., Rieutord, F., Duchemin, I., Niquet, Y.M., Reboud, V., Calvo, V., Chelnokov, A., Faist, J., and Sigg, H.: Uniaxially stressed germanium with fundamental direct band gap. arXiv 1603.03454v1 (2015).Google Scholar
Ruoff, A.L.: On the ultimate yield strength of solids. J. Appl. Phys. 49, 197 (1978).Google Scholar
Ngo, L.T., Almécija, D., Sader, J.E., Daly, B., Petkov, N., Holmes, J.D., Erts, D., and Boland, J.J.: Ultimate-strength germanium nanowires. Nano Lett. 6, 29642968 (2006).Google Scholar
Griffith, A.A.: The phenomena of rupture and flow in solids. Philos. Trans. R. Soc. London, Ser. A 221, 163198 (1921).Google Scholar
Weibull, W.: A Statistical Theory of the Strength of Materials, Vol. 151 (Generalstabens Litografiska Anstalts Förlag, Stockholm, 1939).Google Scholar
Weibull, W.: A statistical distribution function of wide applicability. J. Appl. Mech. 18, 293297 (1951).Google Scholar
Afferrante, L., Ciavarella, M., and Valenza, E.: Is Weibull’s modulus really a material constant? Example case with interacting collinear cracks. Int. J. Solids Struct. 43, 51475157 (2006).Google Scholar
Wortman, J.J. and Evans, R.A.: Young’s modulus, shear modulus, and Poisson’s ratio in silicon and germanium. J. Appl. Phys. 36, 153 (1965).Google Scholar
Jaccodine, R.J.: Surface energy of germanium and silicon. J. Electrochem. Soc. 110, 524527 (1963).Google Scholar
Bonzon, C.: Phase and Mode Control of Structured Semiconductor Lasers (ETH-Zürich, Zurich, 2016).Google Scholar
Petykiewicz, J., Nam, D., Sukhdeo, D.S., Gupta, S., Buckley, S., Piggot, A.Y., Vuckovic, J., and Saraswat, K.C.: Direct bandgap light emission from strained germanium nanowires coupled with high-Q nanophotonic cavities. Nano Lett. 16, 21682173 (2016).Google Scholar
Tsai, E., Diaz, A., Menzel, A., and Guizar, M.: X-ray ptychography using a distant analyzer. Opt. Express 24, 64416450 (2016).Google Scholar
Euaruksakul, C., Li, Z.W., Zheng, F., Himpsel, F.J., Ritz, C.S., Tanto, B., Savage, D.E., Liu, X.S., and Lagally, M.G.: Influence of strain on the conduction band structure of strained silicon nanomembranes. Phys. Rev. Lett. 101, 147403 (2008).Google Scholar
Cloetens, P., Barrett, R., Baruchel, J., Guigay, J-P., and Schlenker, M.: Phase objects in synchrotron radiation hard x-ray imaging. J. Phys. D: Appl. Phys. 29, 133146 (1996).Google Scholar
Luong, G.V., Knoll, L., Blaeser, S., Süess, M.J., Sigg, H., Schäfer, A., Trellenkamp, S., Bourdelle, K.K., Buca, D., Zhao, Q.T., and Mantl, S.: Demonstration of higher electron mobility in Si nanowire MOSFETs by increasing the strain beyond 1.3%. Solid State Electrochem. 108, 1923 (2015).Google Scholar
Schmidt, M., Süess, M.J., Barros, A.D., Geiger, R., Sigg, H., Spolenak, R., and Minamisawa, R.A.: A patterning-based strain engineering for sub-22 nm node FinFETs. IEEE Electron Device Lett. 35, 300302 (2014).Google Scholar