Hostname: page-component-848d4c4894-mwx4w Total loading time: 0 Render date: 2024-06-14T04:05:58.849Z Has data issue: false hasContentIssue false

Polishing and Cleaning of Low K Dielectric Material for ild and Damascene

Published online by Cambridge University Press:  18 March 2011

Yuchun Wang
Affiliation:
CMP Division, Applied Materials, Santa Clara, CA; Dian Sugiarto, Li-Qun Xia, CVD Low K Division, Applied Materials, Santa Clara, CA
Rajeev Bajaj
Affiliation:
CMP Division, Applied Materials, Santa Clara, CA; Dian Sugiarto, Li-Qun Xia, CVD Low K Division, Applied Materials, Santa Clara, CA
Yongsik Moon
Affiliation:
CMP Division, Applied Materials, Santa Clara, CA; Dian Sugiarto, Li-Qun Xia, CVD Low K Division, Applied Materials, Santa Clara, CA
David Mai
Affiliation:
CMP Division, Applied Materials, Santa Clara, CA; Dian Sugiarto, Li-Qun Xia, CVD Low K Division, Applied Materials, Santa Clara, CA
Kapila Wijekoon
Affiliation:
CMP Division, Applied Materials, Santa Clara, CA; Dian Sugiarto, Li-Qun Xia, CVD Low K Division, Applied Materials, Santa Clara, CA
Yufei Chen
Affiliation:
CMP Division, Applied Materials, Santa Clara, CA; Dian Sugiarto, Li-Qun Xia, CVD Low K Division, Applied Materials, Santa Clara, CA
Fritz Redeker
Affiliation:
CMP Division, Applied Materials, Santa Clara, CA; Dian Sugiarto, Li-Qun Xia, CVD Low K Division, Applied Materials, Santa Clara, CA
Get access

Summary:

This paper describes CMP challenges in development of copper-low k process technology. As copper/oxide or copper/FSG backend schemes are being implemented successfully in early manufacturing, development focus has shifted to Cu/OSG (organo-silicate glass) integration development. Cu-OSG presents unique challenges with CMP integration, as these films tend to have much lower hardness than silicon dioxide. Significant process challenges have to be overcome prior to successfully implementing CMP process which does not mechanically damage the softer films and at the same time can achieve planarization requirements expected from CMP process. In addition, the OSG films tend to be hydrophobic leading to a need for developing improved cleaning processes/consumables. It was determined that Applied Materials ElectraPolishTM barrier slurry is extendable to OSG films. Good removal rate and removal profile can be achieved with ElectraPolishTM slurry. A proprietary cleaning solution reduced defect counts by 2 orders of magnitude as detected by SurfScan SS6200 on blanket OSG wafers. The same cleaning solution can be applied to copper/low-k patterned damascene wafers to clean both copper and dielectric surface. Polished OSG films have RMS roughness less than 2 angstroms and copper surface roughness about 5 angstroms with good surface finish. Blanket and patterned wafer results are presented to demonstrate final capability. Future directions for process enhancement are suggested.

Type
Research Article
Copyright
Copyright © Materials Research Society 2001

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

1. Borst, C.L., Thakurta, D.G., Gill, W.N., Gutmann, R.J., J.EChem. Soc., 146, 43094315 (1999).Google Scholar
2. Chen, W.C., Lin, S.C., Dai, B.T., Tsai, M.S., J. EChem. SOC., 146:30043008 (1999).Google Scholar
3. Zhang, F., Galvez, P., Mukherjee, S.P., Forester, L., Wang, S.Q., Proc. fifth international CMP for ULSI interconnection conference (CMP-MIC), March 2-3, 2000, Santa Clara, CA, PP 365372.Google Scholar