Hostname: page-component-8448b6f56d-gtxcr Total loading time: 0 Render date: 2024-04-23T07:16:35.561Z Has data issue: false hasContentIssue false

Electrical Activity of B and As Segregated at the Si-SiO2 Interface

Published online by Cambridge University Press:  01 February 2011

Jens Frühauf
Affiliation:
Infineon technologies AG, affiliated to IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium Technische Universität München, Physik-Department, Garching, Germany
Richard Lindsay
Affiliation:
IMEC vzw, Leuven, Belgium Corresponding author: Jens.Fruehauf@imec.be
Andreas Bergmaier
Affiliation:
Technische Universität München, Physik-Department, Garching, Germany
Wilfried Vandervorst
Affiliation:
IMEC vzw, Leuven, Belgium Corresponding author: Jens.Fruehauf@imec.be
Georg Tempel
Affiliation:
Infineon technologies AG, affiliated to IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium
Karen Maex
Affiliation:
IMEC vzw, Leuven, Belgium Corresponding author: Jens.Fruehauf@imec.be
Günther Dollinger
Affiliation:
Technische Universität München, Physik-Department, Garching, Germany
Fredericks Koch
Affiliation:
Technische Universität München, Physik-Department, Garching, Germany
Get access

Abstract

During spike annealing of ultra-shallow junctions, large fractions of the dopants form a partially active pile-up at the interface between silicon and the screening oxide layer. In this paper, we show results of sheet resistance, SIMS and high resolution Elastic Recoil Detection measurements to investigate the physical and electrical behaviour of B and As dopant atoms at the interface.

Our results show that the fraction of dopants segregated at the interface is as high as 30-50% for B, but is dependent on dose and the type of screening oxide. Concentrations of up to 3e20cm-3 and more of active dopants are found on the Si side of the interface. The presence of nitrogen in the oxide at the interface causes a higher and sharper pile-up. Results indicate that a similar peak is expected for As, with active concentrations above 6e20cm-3. In an HF dip, the pile-up is removed together with the oxide or deactivated during native oxide regrowth.

Further experiments show that immediately after removing the screening oxide in an HF dip the sheet resistance for B decreases sharply due to carrier accumulation, then raises to about 6-9% above the initial level depending on the oxide and dopant species. The sharp decrease in resistance is not observed for As.

Type
Research Article
Copyright
Copyright © Materials Research Society 2002

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

[1] Shima, A., Jinbo, T., Natsuaki, N., Ushio, J., Oh, J.H., Ono, K. and Oshima, M., “A model for the segregation and pileup of boron at the SiO2/Si interface during the formation of ultrashallow p+ junctions”, J. Appl. Phys., Vol. 89, No. 6 (2001) 34583463 Google Scholar
[2] Wang, H. C., Wang, C.C., Chang, C.S., Wang, T., Griffin, P. B. and Diaz, C. H., “Interface induced uphill diffusion of boron: An effective approach for ultrashallow junction”, IEEE El. Dev. Lett., Vol. 22, No. 2 (2001) 6567 Google Scholar
[3] Vandervorst, W., Janssens, T., Loo, R., Caymax, M., Peytier, I., Lindsay, R., Frühauf, J., Bergmaier, A. and Dollinger, G., “An (un)solvable problem in SIMS: B-interfacial profiling”, Proc. SIMS-XIII (Nara, 2001), Appl. Surf. Sci. (accepted for publication)Google Scholar
[4] Neumaier, P., Dollinger, G., Bergmaier, A., Gechnev, I., Görgens, L., Fischer, R., Ronning, C. and Hofsäss, H., “High-resolution elastic recoil detection utilizing Bayesian probability theory”, Nucl. Instr. and Meth. in Phys. Res. B 183 (2001) 4861 Google Scholar
[5] “Standard practice for conversion between resistivity and dopant density for boron-doped and phosphorous-doped silicon”, ASTM Standard F 723-88, 1988 Google Scholar