Hostname: page-component-76fb5796d-skm99 Total loading time: 0 Render date: 2024-04-26T04:43:53.762Z Has data issue: false hasContentIssue false

PFC Emission Control Options for Plasma Processing Tools: A Current Assessment

Published online by Cambridge University Press:  10 February 2011

M. T. Mocella*
Affiliation:
DuPont Fluoroproducts, Zyron® Electronic Gases Group, Deepwater, NJ 08023 mocellm@al .wmvx.umc.dupont.com
Get access

Abstract

Perfluorocompounds (PFCs) are critical processing gases for a number of plasma-based IC processing steps, especially dry etching and in situ CVD chamber cleaning. The long atmospheric lifetimes and large infrared absorption cross sections for such gases (which include CF4, C2F6, C3F8, NF3, SF6, and CHF3) have raised concerns about the contributions of PFC emissions to possible global warming. Global regulatory policies on greenhouse gases are expected to include the PFCs, and the specific attention given to these gases in negotiations between the U.S. government and the semiconductor industry may expand internationally as well.

Several options exist for PFC emission control, including process optimization, chemical substitution, capture/recovery/recycle, and destructive abatement (including combustion, reactive adsorption, and plasma decomposition). An assessment of each option will be made in terms of both technical effectiveness (i.e., PFC reduction achievable) as well as implementation issues (e.g., commercialization timing, cost of ownership). PFC users can expect to have several commercial options to choose from to meet future PFC emission control requirements.

Type
Research Article
Copyright
Copyright © Materials Research Society 1997

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

1 Cook, E., “Lifetime Commitments: Why Climate Policy Makers Can't Afford to Overlook Fully Fluorinated Compounds”, WRI Issues and Ideas (February, 1995)Google Scholar
2 Mocella, M. T., “Overview of International PFC Emission Control Activities”, Proceedings of the SEMICON West 96 Technical Seminar: “PFC Technical Update”, p. 13 (1996)Google Scholar
3 Dutrow, E. A., “A Partnership with the Semiconductor Industry for Reduction of PFC Emissions”, Proceedings of the SEMICON Southwest 96 Technical Seminar: “A Partnership for PFC Emissions Reduction”, p. 137 (1996)Google Scholar
4 Mocella, M. T., “PFC Emission Control: A Status Report on Options and Technology”, Proceedings of the SEMICON West 95 Technical Seminar: “Perfluorocompound (PFC) Technical Update”, p. 39 (1995)Google Scholar
5 Leong, J. Y., “CVD Clean Optimization Studies”, Proceedings of the SIA/SSA/SEMATECH Global Warming Symposium, Session 2, paper #5 (1994)Google Scholar
6 Olewine, M. C., “Alternative Etch Chemistries and Optimization Studies for Dielectric Reactor Cleaning”, Proceedings of the SIA/SSA/SEMATECH Global Warming Symposium, Session 2, paper #3 (1994)Google Scholar
7 Schoening, J., “PFC Emission Reduction – Tool Suppliers’ Options and Impacts”, Proceedings of the SEMICON West 95 Technical Seminar: “Perfluorocompound (PFC) Technical Update”, addendum, p. 29 (1995)Google Scholar
8 Deacon, T., “Retrofitting Process Improvements/Optimization into Current Manufacturing”, Proceedings of the Air Products Topical Workshop on PFC Usage/Control in Semiconductor Manufacturing”, p. 97 (1996)Google Scholar
9 Zazzera, L. and Reagen, W., “Process Emissions Monitoring During C3F8 CVD Chamber Cleaning Using FTIR”, Proceedings of the SEMICON Southwest 96 Technical Seminar: “A Partnership for PFC Emissions Reduction”, p.81 (1996)Google Scholar
10 Soggs, S., Bryant, B., Boeck, B. A., Rogers, S., Vrtis, R., and Mendocino, L., “Trifluoroacetic Anhydride as an Alternative Plasma Clean Chemistry”, Proceedings of the SEMICON Southwest 96 Technical Seminar: “A Partnership for PFC Emissions Reduction”, p. 71 (1996)Google Scholar
11 Chae, H., Mocella, M. T., and Sawin, H. H., “PFC Replacements: Initial DuPont Assessments and Process Studies”, Proceedings of the SEMICON Southwest 96 Technical Seminar: “A Partnership for PFC Emissions Reduction”, p. 87 (1996)Google Scholar
12 Shepard, J. C., “A New Chemical Hazard Assessment – Chlorine Trifluoride”, SSA ‘96 Proceedings, Safety & Industrial Hygiene Session, Engineering Section, paper #1 (1996)Google Scholar
13 MacLean, C. A., “Chlorine Trifluoride: Hazards and Installation”, SSA ‘96 Proceedings, Safety & Industrial Hygiene Session, Engineering Section, paper #2 (1996)Google Scholar
14 Cook, J. M., “New Etch Chemistries”, Proceedings of the SIA/SSA/SEMATECH Global Warming Symposium, Session 2, paper #2 (1994)Google Scholar
15 Mohindra, V., Sawin, H. H., Mocella, M. T., Cook, J. M., Flanner, J., and Turmel, O., Proceedings of the Electrochemical Society, 94–20, p. 300 (1994)Google Scholar
16 For example, Fukasawa, T., Nakamura, A., Shindo, H., and Horiike, Y., “High Rate and Highly Selective SiO2 Etching Employing Inductively Coupled Plasma”, Japanese Journal of Applied Physics, 33, p. 2139 (1994)Google Scholar
17 Cummins, B. and Rufin, D., “PFC Capture Alpha Systems Testing Update”, Proceedings of the SEMICON Southwest 96 Technical Seminar: “A Partnership for PFC Emissions Reduction”, p. 1 (1996)Google Scholar
18 Gilliland, T. M., “Evaluation of the Air Products and Chemicals, Inc and Radian International, LLC Perfluorocompound Recovery System”, Proceedings of the SEMICON Southwest 96 Technical Seminar: “A Partnership for PFC Emissions Reduction”, p. 17 (1996)Google Scholar
19 Athalye, A., Anderson, N., Elsmore, C., Saxena, N., and Sweeney, P., “The BOC Group's PFC Recovery System”, Proceedings of the SEMICON Southwest 96 Technical Seminar: “A Partnership for PFC Emissions Reduction”, p. 27 (1996)Google Scholar
20 Mocella, M. T., “A C2F6 Producer's View of PFC Reclaim Issues”, Proceedings of the SEMICON Southwest 96 Technical Seminar: “A Partnership for PFC Emissions Reduction”, p. 35 (1996)Google Scholar
21 Hayes, M. and Woods, K., “Treating Semiconductor Emissions with Point-of-Use Abatement Systems”, Solid State Technology, 39 (#10), p. 141 (October, 1996)Google Scholar
22 For example, Walling, T. E. and Tran, A., “Efficacy of an Inward Fired Porous Radiant Thermal Processor for CF4 Abatement”, Proceedings of the SEMICON Southwest 96 Technical Seminar: “A Partnership for PFC Emissions Reduction”, p. 37 (1996)Google Scholar
23 Baker, D., Mawle, P., and Smith, B., “The Treatment of Organic Chlorides from Plasma Etch Processing”, Solid State Technology, 38 (#3), p. 79 (March, 1995)Google Scholar
24 Markert, K., Scholz, C., and Kopatzki, E., “Emission Control of Greenhouse Gases via Irreversible Chemisorption of PFCs on Catalytically Active Chemisorbents”, SSA ‘96 Proceedings, Environmental Session, Clearing The Air Section, paper #1 (1996)Google Scholar
25 Mohindra, V., Chae, H., and Sawin, H. H., “Abatement of Perfluorocompounds (PFCs) in a Microwave Tubular Reactor Using O2 as an Additive Gas”, Proceedings of the SSA/SEMATECH/SIA Semiconductor PFC Workshop, Emissions Abatement Evaluations Session, paper #3 (1996)Google Scholar
26 Boeck, B., Beu, L., and Smith, D., “Results of the ETC Dryscrub® Plasma System Evaluation”, Proceedings of the SSA/SEMATECH/SIA Semiconductor PFC Workshop, Emissions Abatement Evaluations Session, paper #2 (1996)Google Scholar