Hostname: page-component-848d4c4894-x24gv Total loading time: 0 Render date: 2024-06-09T17:24:29.840Z Has data issue: false hasContentIssue false

Moisture uptake and dielectric property of methylsilsesquioxane/high-temperature porogen hybrids and porous low-k films

Published online by Cambridge University Press:  23 November 2011

Mu-Lung Che
Affiliation:
Department of Materials Science and Engineering, National Chiao Tung University, Taiwan 30010, Republic of China
Jun-Yuan Teng
Affiliation:
Department of Materials Science and Engineering, National Chiao Tung University, Taiwan 30010, Republic of China
Po-Cheng Lai
Affiliation:
Department of Materials Science and Engineering, National Chiao Tung University, Taiwan 30010, Republic of China
Jihperng Leu*
Affiliation:
Department of Materials Science and Engineering, National Chiao Tung University, Taiwan 30010, Republic of China
*
a)Address all correspondence to this author. e-mail: jimleu@mail.nctu.edu.tw
Get access

Abstract

Two high-temperature pore generators (porogens) have been used to study the effect of porogen structure on moisture uptake and k-value in methylsilsesquioxane/porogen hybrid films and their corresponding porous films in a postintegration porogen removal scheme. Poly(styrene-b-4-vinylpyridine) containing di-block structure and pyridine polar group leads to higher moisture uptake and k-value in the hybrid films as compared to poly(styrene-block-butadiene-block-styrene) with symmetrical structure and nonpolar groups. Moreover, the moisture uptake behavior in both as-prepared hybrid films is in physical sorption mode based on their reversible adsorption–desorption curve measured by quartz crystal microbalance. After porogen removal, the k-values of porous films are favorably not influenced by porogen structures, and their moisture uptake is as low as 1.78 wt% even at 40 vol.% porosity. However, based on the simulation of the modified-Rayleigh model, the porous films are found to possess 0.4 vol.% chemisorbed moisture on the pore surface, resulting in 17–23% deviation from the ideal k-values.

Type
Articles
Copyright
Copyright © Materials Research Society 2011

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

1.Bohr, M.T.: Interconnect scaling—the real limiter to high performance ULSI, in IEEE IEDM, December 10–13, 1995, pp. 241244.Google Scholar
2.Wang, Y.H. and Kumar, R.: Stability of carbon-doped silicon oxide low-k thin films. J. Electrochem. Soc. 151, F73 (2004).Google Scholar
3.Martin, S.J., Godschalx, J.P., Mills, M.E., Shaffer, E.O., and Townsend, P.H.: Development of a low-dielectric-constant polymer for the fabrication of integrated circuit interconnect. Adv. Mater. 12, 1769 (2000).Google Scholar
4.ITRS: International Technology Roadmap for Semiconductor, Executive Summary, 2010 Edition (2010).Google Scholar
5.Mosig, K., Jacobs, T., Brennan, K., Rasco, M., Wolf, J., and Augur, R.: Integration challenges of porous ultra low-k spin-on dielectrics. Microelectron. Eng. 64, 11 (2002).Google Scholar
6.Abell, T. and Maex, K.: Damage minimized plasma pore sealing of microporous low k dielectrics. Microelectron. Eng. 76, 16 (2004).Google Scholar
7.Calvert, J., Gallagher, M., Adams, T., Pandit, A., Prokopowicz, G., Sullivan, C., and Zhen, H.: ZirkonTM Porous Ultra Low-K Dielectrics (Shipley Co., Marlborough, MA), Poster on MINATEC 2003 meeting (2003).Google Scholar
8.Malhouitre, S., Jehoul, C., Aelst, J.V., Struyf, H., Brongersma, S., Carbonell, L., Vos, I., Beyer, G., Hove, M.V., Gronbeck, D., Gallagher, M., Calvert, J., and Maex, K.: Single damascene integration of porous Zirkon™ version 1 low-k dielectric films. Microelectron. Eng. 70, 302 (2003).CrossRefGoogle Scholar
9.Fayolle, M., Jousseaume, V., Assousa, M., Tabouret, E., Le Comec, C., Hamessera, P.H., Leduc, P., Feldis, H., Louveau, O., Passernard, G., and Fusalbab, F.: Cu/ULK integration using a post integration porogen removal approach, in IEEE IITC, June 7–9, 2004, pp. 208210.Google Scholar
10.Frot, T., Volksen, W., Magbitang, T., Miller, D., Purushothaman, S., Lofaro, M., Bruce, R., and Dubois, G.: Post porosity plasma protection a new approach to integrate k ≤ 2.2 porous ULK materials, in IEEE IITC, May 8–12, 2011, pp. 13.Google Scholar
11.Shi, H., Huang, H., Bao, J., Im, J., Ho, P.S., Zhou, Y., Pender, J.T., Armacost, M., and Kyser, D.: Plasma altered layer model for plasma damage characterization of porous OSG films, in IEEE IITC, June 1–3, 2009, pp. 7880.Google Scholar
12.Che, M., Huang, C., Choang, S., Chen, Y., and Leu, J.: Thermal and mechanical properties of hybrid methylsilsesquioxane/poly(styrene-b-4-vinylpyridine) low-k dielectrics using a late porogen removal scheme. J. Mater. Res. 25, 1049 (2010).Google Scholar
13.Wetzel, J.T., Lin, S.H., Mickler, E., Lee, J., Ahlbum, B., Jin, C., Fox, R.J. III, Tsai, M.H., Mlynko, W., Monnig, K.A., and Winebarger, P.M.: Evaluation of material-property requirements and performance of ultra-low-dielectric constant insulators for inlaid copper metallization, in IEEE IEDM, December 2–5, 2001, pp. 4.1.14.1.3.Google Scholar
14.Hoofman, R.J.O.M., Nguyen, V.H., Arnal, V., Broekaart, M., Gosset, L.G., Besling, W.F.A., Fayolle, M., and Lacopi, F.: Integration of low-k dielectric films in damascene processes, in Dielectric Films for Advanced Microelectronics, edited by Baklanov, M., Green, M., and Maex, K. (John Wiley & Sons, New York, 2007), p. 214.Google Scholar
15.Gawase, A., Chikaki, S., Nakamura, N., Soda, E., Oda, N., and Saito, S.: Effect of water adsorption on electrical characteristics of porous silica films in scalable porous silica (k = 2.1)/Cu interconnect structure. Jpn. J. Appl. Phys. 49, 05FD02 (2010).CrossRefGoogle Scholar
16.Kodera, M., Takahashi, T., and Mimamihaba, G.: Evaluation of dielectric constant through direct chemical mechanical planarization of porous low-k film. Jpn. J. Appl. Phys. 49, 04DB07 (2010).Google Scholar
17.Shamiryan, D., Abell, T., Iacopi, F., and Maex, K.: Low-k dielectric materials. Mater. Today 7(1), 34 (2006).Google Scholar
18.Chang, C.C., Jangjian, S.K., and Chen, J.S.: The influences of moisture and fluorine on the characteristics of fluorinated silicate glass for copper metallization. J. Electrochem. Soc. 153, G901 (2006).CrossRefGoogle Scholar
19.Eon, D., Darnon, M., Chevolleau, T., David, T., Vallier, L., and Joubert, O.: Etch mechanisms of hybrid low-k material (SiOCH with porogen) in fluorocarbon based plasma. J. Vac. Sci. Technol. B 25, 715 (2007).Google Scholar
20.Pfeifer, K.H., Engbrecht, E.R., and Gallagher, M.K.: Late-porogen removal integration for ultra-low-keff IMDs. Solid State Technol. 49, 59 (2006).Google Scholar
21.van der Lee, A., Salah, F., and Harzallah, B.: A comparison of modern data analysis methods for X-ray and neutron specular reflectivity data. J. Appl. Cryst. 40, 820 (2007).CrossRefGoogle Scholar
22.Maex, K., Baklanov, M.R., Shamiryan, D., Iacopi, F., Brongersma, S.H., and Yanovitskaya, Z.S.: Low-dielectric constant materials for microelectronics. J. Appl. Phys. 93, 8793 (2003).CrossRefGoogle Scholar
23.Si, J.J., Ono, H., Uchida, K., Nozaki, S., Morisaki, H., and Itoh, N.: Correlation between the dielectric constant and porosity of nanoporous silica thin films deposited by the gas evaporation technique. Appl. Phys. Lett. 79, 3140 (2001).Google Scholar
24.Hong, J., Yang, H., Jo, M., Park, H., and Choi, S.: Preparation and characterization of porous silica xerogel film for low dielectric application. Thin Solid Films 308, 495 (1997).Google Scholar
25.Lu, C. and Czanderna, A.W.: Applications of Piezoelectric Quartz Crystal Microbalance (Elsevier, New York, 1984).Google Scholar
26.Wang, C.Y., Zheng, J.Z., Shen, Z.X., Xu, Y., Lim, S.L., Liu, R., and Huan, A.C.H.: Characterization of a low-k organic spin-on-glass as an intermetal dielectric. Surf. Interface Anal. 28, 97 (1999).Google Scholar
27.Rogojevic, S., Jain, A., Gill, W.N., and Plawsky, J.: Moisture adsorption in nanoporous silica xerogels. Electrochem. Solid-state Lett. 5, F22 (2002).Google Scholar
28.Chang, T.C., Mor, Y.S., Liu, P.T., Tsai, T.M., Chen, C.W., Mei, Y.J., and Sze, S.M.: Recovering dielectric loss of low dielectric constant organic siloxane during the photoresist removal process. J. Electrochem. Soc. 149, F81 (2002).CrossRefGoogle Scholar
29.Wang, C.Y., Shen, Z.X., and Zheng, J.Z.: Thermal cure study of a low-k methyl silsesquioxane for intermetal dielectric application by FT-IR spectroscopy. Appl. Spectrosc. 54, 209 (2000).CrossRefGoogle Scholar
30.Grill, A. and Neumayer, D.A.: Structure of low-dielectric constant to extreme low-dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization. J. Appl. Phys. 94(10), 6697 (2003).Google Scholar
31.Proost, J., Kondoh, E., Vereeche, G., Heyns, M., and Maex, K.: Critical role of degassing for hot aluminum filling. J. Vac. Sci. Technol. B 16, 2091 (1998).Google Scholar
32.Kuroki, S. and Kikkawa, T.: Measurement and analysis of water adsorption in porous silica films. J. Electrochem. Soc. 153, G759 (2006).Google Scholar
33.Kim, S., Park, J., and Choi, D.: The carrier gas and surface passivation effects on selectivity in chemical vapor deposition of copper films. Thin Solid Films 320, 95 (1998).Google Scholar
34.Shi, H., Bao, J., Smith, R.S., Huang, H., Liu, J., Ho, P.S., McSwiney, M.L., Moinpour, M., and Kloster, G.M.: Origin of dielectric loss induced by oxygen plasma on organo-silicate glass low-k dielectrics. Appl. Phys. Lett. 93, 192909 (2008).Google Scholar
35.Kim, J.Y., Hwang, M.S., Kim, Y., Kim, H.J., and Kee, Y.: Origin of low-dielectric constant of carbon-incorporated silicon oxide film deposited by plasma enhanced chemical vapor deposition. J. Appl. Phys. 90(5), 2469 (2001).Google Scholar
36.Aspnes, D.E.: Optical properties of thin films. Thin Solid Films 89, 249 (1982).Google Scholar
37.Kikkawa, T., Kuroki, S., Sakamoto, S., Kohmura, K., Tanaka, H., and Hata, N.: Influence of humidity on electrical characteristics of self-assembled porous silica low-k films. J. Electrochem. Soc. 152, G560 (2005).Google Scholar
38.Kittel, C.: Introduction to Solid State Physics (John Wiley & Sons, New York, 1986).Google Scholar