Hostname: page-component-76fb5796d-22dnz Total loading time: 0 Render date: 2024-04-30T03:37:39.123Z Has data issue: false hasContentIssue false

Wavelength-Invariant Resist Composed of Bimetallic Layers

Published online by Cambridge University Press:  11 February 2011

Y. Tu
Affiliation:
Dept. of Physics and School of Engineering Science, Simon Fraser University, Burnaby, BC, V5A 1S6, CANADA
M. Karimi
Affiliation:
Dept. of Physics and School of Engineering Science, Simon Fraser University, Burnaby, BC, V5A 1S6, CANADA
N. Morawej
Affiliation:
Dept. of Physics and School of Engineering Science, Simon Fraser University, Burnaby, BC, V5A 1S6, CANADA
W. N. Lennard
Affiliation:
Dept. of Physics, University of Western Ontario, London, ON, N6A 3K7, CANADA
T. W. Simpson
Affiliation:
Dept. of Physics, University of Western Ontario, London, ON, N6A 3K7, CANADA
J. Peng
Affiliation:
Dept. of Physics and School of Engineering Science, Simon Fraser University, Burnaby, BC, V5A 1S6, CANADA
K. L. Kavanagh
Affiliation:
Dept. of Physics and School of Engineering Science, Simon Fraser University, Burnaby, BC, V5A 1S6, CANADA
G. H. Chapman
Affiliation:
Dept. of Physics and School of Engineering Science, Simon Fraser University, Burnaby, BC, V5A 1S6, CANADA
Get access

Abstract

Two layer co-sputtered Bi over In thin films (40 nm/layer) act as a microfabrication resist with many potential applications. Their physical, chemical and optical characteristics change after laser exposures that produce a rapid thermal anneal in selected areas. Unlike organic photoresists, Bi/In is a bimetallic thermal resist whose sensitivity shows a near wavelength invariance for wavelengths from Near IR to UV. The laser-induced patterns are developed by an etch that selectively removes unexposed areas and retains converted ones. The optical density (OD) of 40 nm thick Bi/In films on quartz substrates, for example, changes from 3.3 OD to 0.37 OD in the annealed area. This has enabled the creation of direct-write photomasks for standard photoresist exposures. In this paper, the composition, morphology, and nanostructure of the resist before and after laser processing were studied in order to determine the mechanism of the laser-induced material conversion. AFM, XRD, and TEM show that the as-deposited films are polycrystalline, continuous, but with a rough, island morphology. Furnace anneals in air above the eutectic temperature (150–250°C, 3 hours) result in the formation of the tetragonal phase BiIn with a small degree of oxidation. The island morphology is maintained but there is evidence of melting and recrystallization. Transparency is much lower than after laser annealing. RBS and NRA depth profile analysis show that Bi/In films exposed to laser annealing in air contain a large fraction of oxygen and suggest that the converted film may be a BiIn0.6O6 /Bi0.3InO6 bilayer.

Type
Research Article
Copyright
Copyright © Materials Research Society 2003

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

[1] “International Technology Roadmap for Semiconductors: Lithography”, International SEMATECH, 2001.Google Scholar
[2] Lavine, Jerome M. and Buliszak, Mark J., “Ag2Te/As2S3: A high-contrast, top-surface imaging resist for 193 nm lithography”, J. Vac. Sci. Technol. B, 14, 34893491 (1996).Google Scholar
[3] Joubert, O., Fuard, D., Monget, C., Schiavone, P., Toublan, O., Prola, A., Temerson, J. M., Inglebert, R. L, Weidman, T., Nault, M., Bekiaris, N., “Process optimization of a negative-tone CVD photoresist for 193 nm lithography applications”, Proc. SPIE 3676, 1221 (1999).Google Scholar
[4] Pang, S. W., Kunz, R. R., Rothschild, M., Goodman, R. B. and Horn, M. W., “Aluminum oxides as imaging materials for 193-nm excimer laser lithography”, J. Vac. Sci. Technol. B, 7, 16241628 (1989).Google Scholar
[5] Sarunic, M. V., Chapman, G. H., Tu, Y., “A Prototype Laser Activated Bimetallic Thermal Resist For Microfabrication”, Proc. SPIE 4274, 183193 (2001).Google Scholar
[6] Chapman, G. H., Sarunic, M. V., Tu, Y, “Dry Multilayer Inorganic Alloy Thermal Resist for Lithographic Processing and Image Creation”, PCT patent application WO 02/06897 A2, applied for Jan 24, 2002.Google Scholar
[7] Chapman, G. H., Tu, Y., Sarunic, M. V., “BiIn: a Sensitive Bimetallic Thermal Resist”, Proceedings SPIE Advances in Resist Technology and Processing XVIII, 4345, 557568 (2001).Google Scholar
[8] Chapman, Glenn, Tu, Yuqiang, Sarunic, Marinko V., “Bi/In Bimetallic Thermal Resists for Microfabrication, Photomasks and Micromachining Applications”, Proceedings SPIE Advances in Resist Technology and Processing XIX, 4690, 465476 (2002).Google Scholar
[9] Tu, Yuqiang, Chapman, Glenn, “Bi/In as Patterning and Masking Layers for Alkaline-Based Si Anisotropic Etching” accepted by SPIE Photonics West, Micromachining and Microfabrication Process Technology VIII, San Jose, (Jan. 2003).Google Scholar