Hostname: page-component-8448b6f56d-m8qmq Total loading time: 0 Render date: 2024-04-25T01:19:25.013Z Has data issue: false hasContentIssue false

Off-Angular Deposition Compensation for PVD Selective Re-sputtering Process

Published online by Cambridge University Press:  01 February 2011

Hsien-Lung Yang
Affiliation:
hsien-lung_yang@amat.com, Applied Materials Inc., Metal Deposition Prodcut, 3050 Bowers Ave., Santa Clara, CA, 95054, United States, 408-563-4521
Fuhong Zhang
Affiliation:
fuhong_zhang@amat.com, Applied Materials Inc., Metal Deposition Product, Santa Clara, CA, 95054, United States
Kim Nelson
Affiliation:
kim_nelson@amat.com, Applied Materials Inc., Metal Deposition Product, Santa Clara, CA, 95054, United States
Jennifer M. Tseng
Affiliation:
jennifer_tseng@amat.com, Applied Materials Inc., Metal Deposition Product, Santa Clara, CA, 95054, United States
John Forster
Affiliation:
john_forster@amat.com, Applied Materials Inc., Metal Deposition Product, Santa Clara, CA, 95054, United States
Arvind Sunddarrajan
Affiliation:
arvind_sunddarrajan@amat.com, Applied Materials Inc., Metal Deposition Product, Santa Clara, CA, 95054, United States
Ajay Bhatnagar
Affiliation:
ajay_bhatnagar@amat.com, Applied Materials Inc., Metal Deposition Product, Santa Clara, CA, 95054, United States
Niranjan Kumar
Affiliation:
niranjan_kumar@amat.com, Applied Materials Inc., Metal Deposition Product, Santa Clara, CA, 95054, United States
Prabu Gopalraja
Affiliation:
Prabu_gopalraja@amat.com, Applied Materials Inc., Metal Deposition Product, Santa Clara, CA, 95054, United States
Get access

Abstract

In Copper back-end-of-line (BEOL), the “punchthru™ process” – removal of barrier material from via bottom during etch/re-sputter step, and gouging into the underlying Copper line - has been increasingly used in 65nm production for its superior reliability. However, with the adoption of porous low-k dielectric at 45nm node and beyond, the conventional punchthru process can cause physical damage to the porous dielectric, such as roughening of the trench bottom in dual damascene structures, micro-trenching in the bottom of single trenches, which may have reliability implications. This paper reported on the use of off-angular Tantalum neutral flux during the re-sputter process to improve the selectivity between the via and trench bottom in order to protect the trench bottom and via bevel, while still allowing sufficient gouging into the underlying Copper line. In addition, the plasma density and ion energy are adjusted to further optimize selectivity, and to eliminate any micro-trenching. Therefore, this paper demonstrated PVD high deposit/etch selectivity process based on transmission-electron microscopy (TEM) and studies of electrical test result. This approach has extended the PVD Tantalum barrier process to at least 32nm node.

Type
Research Article
Copyright
Copyright © Materials Research Society 2008

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

[1] Ino, K., et al. J. Vac. Sci. Technol. A 15(5), 1997, 2627 Google Scholar
[2] Catania, P., et al. J. Vac. Sci. Technol. A 10, 1992, 3318 Google Scholar
[3] Yang, C.-C, et al. Proc. IEEE Int' Interconnect Tech. Conf. 2005, p.135 Google Scholar
[4] Edelstein, D., et al. Proc. IEEE Int' Interconnect Tech. Conf. 2001, p.9 Google Scholar
[5] Edelstein, D., et al. Advanced Metallization Conf. 2001, p.541 Google Scholar
[6] Kumar, N., et al. Advanced Metallization Conf. 2004, p.247 Google Scholar