Skip to main content Accessibility help
×
Hostname: page-component-848d4c4894-nr4z6 Total loading time: 0 Render date: 2024-06-12T11:10:03.705Z Has data issue: false hasContentIssue false

6 - Current sources and voltage references

Published online by Cambridge University Press:  17 December 2010

Márcio Cherem Schneider
Affiliation:
Universidade Federal de Santa Catarina, Brazil
Carlos Galup-Montoro
Affiliation:
Universidade Federal de Santa Catarina, Brazil
Get access

Summary

Image of the first page of this content. For PDF version, please use the ‘Save PDF’ preceeding this image.'
Type
Chapter
Information
Publisher: Cambridge University Press
Print publication year: 2010

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

Grebene, A. B., Bipolar and MOS Analog Integrated Circuit Design, Hoboken, NJ: Wiley Interscience, 2003.Google Scholar
Widlar, R. J., “Some circuit design techniques for linear integrated circuits,” IEEE Transactions on Circuit Theory, vol. 12, no. 4, pp. 586–590, Dec. 1965.CrossRefGoogle Scholar
Gray, P. R., Hurst, P. J., Lewis, S. H., and Meyer, R. G., Analysis and Design of Analog Integrated Circuits, 4th edn., New York: John Wiley & Sons, 2001.Google Scholar
Vittoz, E. and Fellrath, J., “CMOS analog integrated circuits based on weak inversion operation,” IEEE Journal of Solid-State Circuits, vol. 12, no. 3, pp. 224–231, June 1977.CrossRefGoogle Scholar
Razavi, B., “Design of Analog CMOS Integrated Circuits,” Boston, MA: McGraw-Hill, 2001.Google Scholar
Baker, R. Jacob, “CMOS Circuit Design, Layout, and Simulation,” 2nd edn., Hoboken, NJ: Wiley Interscience, 2005.Google Scholar
Vittoz, E., “Weak inversion in analog and digital circuits”, CCCD Workshop, Lund, 2003 (available on line at http://www.es.lth.se/cccd/images/CCCD03-Weak%20inversion-Vittoz.pdf).
Mandal, S., Arfin, S., and Sarpeshkar, R., “Fast startup CMOS current references,” Proceedings of IEEE ISCAS, 2006, pp. 2845–2848.Google Scholar
Giustolisi, G., Palumbo, G., Criscione, M., and Cutri, F., “A low-voltage low-power voltage reference based on subthreshold MOSFETs,” IEEE Journal of Solid-State Circuits, vol. 38, no. 1, pp. 151–154, Jan. 2003.CrossRefGoogle Scholar
Oguey, H. J. and Aebischer, D., “CMOS current reference without resistance,” IEEE Journal of Solid-State Circuits, vol. 32, no. 7, pp. 1132–1135, July 1997.CrossRefGoogle Scholar
Camacho-Galeano, E. M., Galup-Montoro, C., and Schneider, M. C., “A 2-nW 1.1-V self-biased current reference in CMOS technologyIEEE Transactions on Circuits and Systems II, vol. 52, no. 2, pp. 61–65, Feb. 2005.CrossRefGoogle Scholar
Vittoz, E. A. and Enz, C. C., “CMOS low-power analog circuit design”, Proceedings of IEEE ISCAS, 1996, pp. 79–133.Google Scholar
Serra-Graells, F. and Huertas, J. L., “Sub-1-V CMOS proportional-to-absolute temperature references,” IEEE Journal of Solid-State Circuits, vol. 38, no. 1, pp. 84–88, Jan. 2003.CrossRefGoogle Scholar
Hilbiber, D. F., “A new semiconductor voltage standard,” International Solid-State Circuits Conference Digest Technical Papers, 1964, pp. 32–33.Google Scholar
Widlar, R. J., “New developments in IC voltage regulators,” IEEE Journal of Solid-State Circuits, vol. 6, no. 1, pp. 2–7, Jan. 1971.CrossRefGoogle Scholar
Kuijk, K. E., “A precision reference voltage source,” IEEE Journal of Solid-State Circuits, vol. 8, no. 3, pp. 222–226, June 1973.CrossRefGoogle Scholar
Brokaw, A. P., “A simple three-terminal IC bandgap reference,” IEEE Journal of Solid-State Circuits, vol. 9, no. 6, pp. 388–393, Dec. 1974.CrossRefGoogle Scholar
Green, M. A., “Intrinsic concentration, effective densities of states, and effective mass in silicon,” Journal of Applied Physics, vol. 67, no. 6, pp. 2944–2954, Mar. 15, 1990.CrossRefGoogle Scholar
Meijer, G. C. M., Wang, G., and Fruett, F., “Temperature sensors and voltage references implmented in CMOS technology,” IEEE Sensors Journal, vol. 1, no. 3, pp. 225–234, Oct. 2001.CrossRefGoogle Scholar
Tsividis, Y. P. and Ulmer, R. W., “A CMOS voltage reference,” IEEE Journal of Solid-State Circuits, vol. 13, no. 6, pp. 774–778, Dec. 1978.CrossRefGoogle Scholar
Vittoz, E. A. and Neyroud, O., “A low-voltage CMOS bandgap reference,” IEEE Journal of Solid-State Circuits, vol. 14, no. 3, pp. 573–577, June 1979.CrossRefGoogle Scholar
Gregorian, R., Wegner, G. A., and Nicholson, Jr. W. E., “An integrated single-chip PCM voice codec with filters,” IEEE Journal of Solid-State Circuits, vol. 16, no. 4, pp. 322–333, Aug. 1981.CrossRefGoogle Scholar
Song, B. S. and Gray, P., “A precision curvature compensated CMOS bandgap reference,” IEEE Journal of Solid-State Circuits, vol. 18, no. 6, pp. 634–643, Dec. 1983.CrossRefGoogle Scholar
Michejda, J. and Kim, S. K., “A precision CMOS bandgap reference,” IEEE Journal of Solid-State Circuits, vol. 19, no. 6, pp. 1014–1021, Dec. 1984.CrossRefGoogle Scholar
Vittoz, E., “MOS transistors operated in the lateral bipolar mode and their application in CMOS technology,” IEEE Journal of Solid-State Circuits, vol. 18, no. 3, pp. 273–279, June 1983.CrossRefGoogle Scholar
Degrauwe, M. G. R., Leuthold, O. N., Vittoz, E. A., Oguey, H. J., and Descombes, A., “CMOS voltage references using lateral bipolar transistors,” IEEE Journal of Solid-State Circuits, vol. 20, no. 6, pp. 1151–1157, Dec. 1985.CrossRefGoogle Scholar
Mok, P. K. T. and Leung, K. N., “Design considerations of recent advanced low-voltage low-temperature-coefficient CMOS bandgap voltage reference,” Proceedings IEEE CICC, 2004, pp. 635–642.Google Scholar
Banba, H., Shiga, H., Umezawa, A.et al, “A CMOS bandgap reference circuit with sub-1-V operation,” IEEE Journal of Solid-State Circuits, vol. 34, no. 5, pp. 670–674, May 1999.CrossRefGoogle Scholar
Malcovati, P., Maloberti, F., Fiocchi, C., and Pruzzi, M., “Curvature-compensated BiCMOS bandgap with 1-V supply voltage,” IEEE Journal of Solid-State Circuits, vol. 36, no. 7, pp. 1076–1081, July 2001.CrossRefGoogle Scholar
Leung, K. N. and Mok, P. K. T., “A sub-1-V 15-ppm/oC CMOS bandgap voltage reference without requiring low threshold voltage device,” IEEE Journal of Solid-State Circuits, vol. 37, no. 4, pp. 526–530, Apr. 2002.CrossRefGoogle Scholar
Doyle, J., Lee, Y. J., Kim, Y.-B., Wilsch, H., and Lombardi, F., “A CMOS subbandgap reference circuit with 1-V power supply voltage,” IEEE Journal of Solid-State Circuits, vol. 39, no. 1, pp. 252–255, Jan. 2004.CrossRefGoogle Scholar
Buck, A. E., McDonald, C. L., Lewis, S. H., and Viswanathan, T. R., “A CMOS bandgap reference without resistors,” IEEE Journal of Solid-State Circuits, vol. 37, no. 1, pp. 81–83, Jan. 2002.CrossRefGoogle Scholar
Leung, K. N. and Mok, P. K. T., “A CMOS voltage reference based on weighted ΔVGS for CMOS low-dropout linear regulators,” IEEE Journal of Solid-State Circuits, vol. 38, no. 1, pp. 146–150, Jan. 2003.CrossRefGoogle Scholar
Vita, G. and Iannaccone, G., “An ultra-low-power, temperature compensated voltage reference generator,” Proceedings of IEEE CICC, 2005, pp. 751–754.Google Scholar
Gilbert, B., “Current-mode, voltage-mode, or free mode? A few sage suggestions,” Analog Integrated Circuits and Signal Processing, vol. 38, pp. 83–101, Feb. 2004.CrossRefGoogle Scholar
US Patent 6,885,178, April 2005, CMOS voltage bandgap reference with improved headroom; Stefan Marinca, IEEE Journal of Solid-State Circuits, vol. 40, no. 11, p. 2345, Nov. 2005; http://www.freepatentsonline.com/6885178.html.

Save book to Kindle

To save this book to your Kindle, first ensure coreplatform@cambridge.org is added to your Approved Personal Document E-mail List under your Personal Document Settings on the Manage Your Content and Devices page of your Amazon account. Then enter the ‘name’ part of your Kindle email address below. Find out more about saving to your Kindle.

Note you can select to save to either the @free.kindle.com or @kindle.com variations. ‘@free.kindle.com’ emails are free but can only be saved to your device when it is connected to wi-fi. ‘@kindle.com’ emails can be delivered even when you are not connected to wi-fi, but note that service fees apply.

Find out more about the Kindle Personal Document Service.

Available formats
×

Save book to Dropbox

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Dropbox.

Available formats
×

Save book to Google Drive

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Google Drive.

Available formats
×