Hostname: page-component-8448b6f56d-xtgtn Total loading time: 0 Render date: 2024-04-23T15:38:23.466Z Has data issue: false hasContentIssue false

High efficiency UWB pulse generator for ultra-low-power applications

Published online by Cambridge University Press:  20 March 2015

Remy Vauche*
Affiliation:
Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, Marseille, France
Sylvain Bourdel
Affiliation:
Univ. Grenoble Alpes, IMEP-LAHC, F-38000 Grenoble, France CNRS, IMEP-LAHC, F-38000 Grenoble, France
Nicolas Dehaese
Affiliation:
Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, Marseille, France
Jean Gaubert
Affiliation:
Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, Marseille, France
Oswaldo Ramos Sparrow
Affiliation:
Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, Marseille, France
Eloi Muhr
Affiliation:
Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, Marseille, France
Herve Barthelemy
Affiliation:
Aix Marseille Université, CNRS, Université de Toulon, IM2NP UMR 7334, Marseille, France
*
Corresponding author: R. Vauche, Email: remy.vauche@im2np.fr

Abstract

This paper presents the design of a fully integrated ultra-low-power Ultra Wide Band (UWB) pulse generator. The circuit is designed and optimized for low rate and localization applications. This UWB transmitter is based on the impulse response filter method in order to achieve high energy sub-nanosecond pulses. The circuit has been integrated in a ST-Microelectronics CMOS 0.13 μm technology with a supply voltage of 1.2 V on a die area of 0.56 mm2. A power manager is used to reduce the power leakages to 3.91 μW which gives a power consumption of 3.98 Mw at 10 kb/s. The measured dynamic energy consumed per pulse is 68 pJ and the measured energy of the emitted pulse is 2.15 pJ.

Type
Research Paper
Copyright
Copyright © Cambridge University Press and the European Microwave Association 2015 

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

[1]Karthaus, U.; Fischer, M.: Fully integrated passive UHF RFID transponder IC with 16.7 W minimum RF input power. IEEE J. Solid-State Circuits, 38 (10) (2003), 16021608.CrossRefGoogle Scholar
[2]Crepaldi, M.; Li, C.; Dronson, K.; Fernandes, J.; Kinget, P.: An ultra-low-power interference-robust IR-UWB transceiver chipset using self-synchronizing OOK modulation, in IEEE Int. Solid-State Circuits Conf. (ISSCC), 2010, 226–227.Google Scholar
[3]Guidi, F.; Dardari, D.; Roblin, C.; Sibille, A.: backscatter communication using ultrawide bandwidth signals for RFID applications, in The Internet of Things, 2010, 251–261.CrossRefGoogle Scholar
[4]Dehaese, N. et al. : Low-power CMOS energy detector for noncoherent impulse-radio UWB receivers, in IEEE Int. Conf. on Ultra-Wideband (ICUWB), 2010, 1–4.Google Scholar
[5]Wentzloff, D.D.; Chandrakasan, A.: A 47 pJ/pulse 3.1-to-5 GHz All-Digital UWB transmitter in 90 nm CMOS, in IEEE Int. Solid-State Circuits Conf. (ISSCC), 2007, 118–591.Google Scholar
[6]Dokania, R.; Wang, X.; Tallur, S.; Dorta-Quinones, C.; Apsel, A.: An ultralow-power dual-band UWB impulse radio. IEEE Trans. Circuits Syst. II, 57 (7) (2010), 541545.Google Scholar
[7]Bourdel, S. et al. : A 9-pJ/Pulse 1.42-Vpp OOK CMOS UWB pulse generator for the 3.1–10.6 GHz FCC Band. IEEE Trans. Microw. Theory Tech., 58 (1) (2010), 6573.CrossRefGoogle Scholar
[8]Sim, S.; Kim, D.W.; Hong, S.: A CMOS UWB pulse generator for 6–10 GHz applications. IEEE Microw. Wirel. Compon. Lett., 19 (2) (2009), 8385.Google Scholar
[9]Phan, A.T.; Lee, J.; Krizhanovskii, V.; Le, Q.; Han, S.K.; Lee, S.G.: Energy-efficient low-complexity CMOS pulse generator for multiband UWB impulse radio. IEEE Trans. Circuits Syst. I: Regul. Pap., 55 (11) (2008), 35523563.Google Scholar
[10]Barras, D.; Ellinger, F.; Jackel, H.; Hirt, W.: Low-power ultra-wideband wavelets generator with fast start-up circuit. IEEE Trans. Microw. Theory Tech., 54 (5) (2006), 21382145.Google Scholar
[11]Bourdel, S.; Gaubert, J.; Fourquin, O.; Vauche, R.; Dehaese, N.: CMOS UWB pulse generator co-designed with package transition, in IEEE Radio Frequency Integrated Circuits Symp., 2009. RFIC 2009, 2009, 539–542.Google Scholar
[12]Keshavarzi, A. et al. : Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs, in Low Power Electronics and Design, Int. Symp. on, 2001, 2001, 207–212.Google Scholar
[13]Assaderaghi, F.; Parke, S.; Sinitsky, D.; Bokor, J.; Ko, P.K.; Hu, C.: A dynamic threshold voltage MOSFET (DTMOS) for very low voltage operation. IEEE Electron Device Lett., 15 (12) (1994), 510512.Google Scholar
[14]Wei, L.; Chen, Z.; Roy, K.; Johnson, M.C.; Ye, Y.; De, V.K.: Design and optimization of dual-threshold circuits for low-voltage low-power applications. IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 7 (1) (1999), 1624.Google Scholar
[15]Sakurai, T.; Newton, A.: Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE J. Solid-State Circuits, 25 (2) (1990), 584594.Google Scholar
[16]Mercier, P.P.; Daly, D.C.; Chandrakasan, A.: An energy-efficient all-digital UWB transmitter employing dual capacitively-coupled pulse-shaping drivers. IEEE J. Solid-State Circuits, 44 (6) (2009), 16791688.Google Scholar
[17]Park, Y.; Wentzloff, D.D.: An all-digital 12 pJ/Pulse IR-UWB transmitter synthesized from a standard cell library. IEEE J. Solid-State Circuits, 1 (2011), 11471157.Google Scholar
[18]Dokania, R.K.; Wang, X.Y.; Dorta-Quinones, C.I.; Godycki, W.; Tallur, S.G.; Apsel, A.: A 6 uW, 100 kbps, 3–5 GHz, UWB impulse radio transmitter, in ACM/IEEE Int. Symp. on Low-Power Electronics and Design (ISLPED), 2010, 91–94.CrossRefGoogle Scholar