Hostname: page-component-76fb5796d-wq484 Total loading time: 0 Render date: 2024-04-30T04:34:22.067Z Has data issue: false hasContentIssue false

Competitive interplay of deposition and etching processes in atomic layer growth of cobalt and nickel metal films

Published online by Cambridge University Press:  05 November 2018

Alexander Sasinska
Affiliation:
Institute of Inorganic Chemistry, University of Cologne, Cologne D-50939, Germany
Jennifer Leduc
Affiliation:
Institute of Inorganic Chemistry, University of Cologne, Cologne D-50939, Germany
Michael Frank
Affiliation:
Institute of Inorganic Chemistry, University of Cologne, Cologne D-50939, Germany
Lisa Czympiel
Affiliation:
Institute of Inorganic Chemistry, University of Cologne, Cologne D-50939, Germany
Thomas Fischer
Affiliation:
Institute of Inorganic Chemistry, University of Cologne, Cologne D-50939, Germany
Silke H. Christiansen
Affiliation:
Institute of Nanoarchitectures for Energy Conversion, Helmholtz-Zentrum Berlin für Materialien und Energie, Berlin D-14109, Germany; and Physics Department, Freie Universität Berlin, Berlin D-14195, Germany
Sanjay Mathur*
Affiliation:
Institute of Inorganic Chemistry, University of Cologne, Cologne D-50939, Germany
*
a)Address all correspondence to this author. e-mail: sanjay.mathur@uni-koeln.de
Get access

Abstract

Atomic layer deposition (ALD) of air stable cobalt and nickel complexes based on tridentate enaminones N,N-(4,4,4-trifluorobut-1-en-3-on)-dimethylethyldiamine (Htfb-dmeda) and N,N-(4,4,4-trifluorobut-1-en-3-on)-dimethylpropyldiamine (Htfb-dmpda) successfully produced metallic cobalt and nickel thin films. Detailed X-ray photoelectron spectroscopy (XPS) studies on the binding interaction of the first precursor monolayer with the surface functional groups elucidated the chemisorption behavior of the new precursor systems. A reactive remote hydrogen plasma was used as the co-reactant to activate the precursor decomposition yielding metal hydroxide intermediates. Subsequent hydrogen plasma etching of as-deposited films resulted in phase-pure metallic films through a recrystallization process, verified by surface and sub-surface XPS. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) analyses revealed pinhole-free films, with low surface roughness (0.2 ± 0.06 nm root mean square, RMS) for both, cobalt and nickel thin films. Herein, the competitive role of hydrogen as etchant and reactant was demonstrated as prolonged plasma exposure time periods resulted in the formation of metal hydrides. This is mostly due to the catalytic dissociation of molecular hydrogen on transition metal surfaces, which already occurs upon low energy input.

Type
Article
Copyright
Copyright © Materials Research Society 2018 

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

Footnotes

b)

These authors contributed equally to this work.

References

REFERENCES

Bader, S.D.: Magnetism in low dimensionality. Surf. Sci. 500, 172 (2002).CrossRefGoogle Scholar
Michaeli, K., Varade, V., Naaman, R., and Waldeck, D.H.: A new approach towards spintronics-spintronics with no magnets. J. Phys.: Condens. Matter 29, 103002 (2017).Google ScholarPubMed
Ago, H., Ito, Y., Mizuta, N., Yoshida, K., Hu, B., Orofeo, C.M., Tsuji, M., Ikeda, K., and Mizuno, S.: Epitaxial chemical vapor deposition growth of single-layer graphene over cobalt film crystallized on sapphire. ACS Nano 4, 7407 (2010).CrossRefGoogle Scholar
Losurdo, M., Giangregorio, M.M., Capezzuto, P., and Bruno, G.: Graphene CVD growth on copper and nickel: Role of hydrogen in kinetics and structure. Phys. Chem. Chem. Phys. 13, 20836 (2011).CrossRefGoogle ScholarPubMed
Falk-Windisch, H., Claquesin, J., Sattari, M., Svensson, J.E., and Froitzheim, J.: Co- and Ce/Co-coated ferritic stainless steel as interconnect material for intermediate temperature solid oxide fuel cells. J. Power Sources 343, 1 (2017).CrossRefGoogle Scholar
Beckel, D., Bieberle-Hutter, A., Harvey, A., Infortuna, A., Muecke, U.P., Prestat, M., Rupp, J.L.M., and Gauckler, L.J.: Thin films for micro solid oxide fuel cells. J. Power Sources 173, 325 (2007).CrossRefGoogle Scholar
Reader, A.H., Vanommen, A.H., Weijs, P.J.W., Wolters, R.A.M., and Oostra, D.J.: Transition-metal silicides in silicon technology. Rep. Prog. Phys. 56, 1397 (1993).CrossRefGoogle Scholar
George, S.M.: Atomic layer deposition: An overview. Chem. Rev. 110, 111 (2010).CrossRefGoogle ScholarPubMed
Puurunen, R.L.: Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process. J. Appl. Phys. 97, 121301 (2005).CrossRefGoogle Scholar
Liu, S., Tan, J.M., Gulec, A., Crosby, L.A., Drake, T.L., Schweitzer, N.M., Delferro, M., Marks, L.D., Marks, T.J., and Stair, P.C.: Stabilizing single-atom and small-domain platinum via combining organometallic chemisorption and atomic layer deposition. Organometallics 36, 818 (2017).CrossRefGoogle Scholar
Elliott, S.D., Dey, G., and Maimaiti, Y.: Classification of processes for the atomic layer deposition of metals based on mechanistic information from density functional theory calculations. J. Chem. Phys. 146, 052822 (2017).CrossRefGoogle ScholarPubMed
Griffiths, M.B.E., Pallister, P.J., Mandia, D.J., and Barry, S.T.: Atomic layer deposition of gold metal. Chem. Mater. 28, 44 (2016).CrossRefGoogle Scholar
Makela, M., Hatanpaa, T., Mizohata, K., Meinander, K., Niinisto, J., Raisanen, J., Ritala, M., and Leskela, M.: Studies on thermal atomic layer deposition of silver thin films. Chem. Mater. 29, 2040 (2017).CrossRefGoogle Scholar
Mäkelä, M., Hatanpää, T., Mizohata, K., Räisänen, J., Ritala, M., and Leskelä, M.: Thermal atomic layer deposition of continuous and highly conducting gold thin films. Chem. Mater. 29, 6130 (2017).CrossRefGoogle Scholar
Liu, H.F.: Recent progress in atomic layer deposition of multifunctional oxides and two-dimensional transition metal dichalcogenides. J. Mol. Eng. Mater. 4, 1640010 (2016).CrossRefGoogle Scholar
Kim, H.G. and Leek, H.B.R.: Atomic layer deposition on 2D materials. Chem. Mater. 29, 3809 (2017).CrossRefGoogle Scholar
Ryu, S.W., Yoon, J., Moon, H.S., Shong, B., Kim, H., and Lee, H.B.R.: Atomic layer deposition of 1D and 2D nickel nanostructures on graphite. Nanotechnology 28, 115301 (2017).CrossRefGoogle Scholar
Austin, D.Z., Jenkins, M.A., Allman, D., Hose, S., Price, D., Dezelah, C.L., and Conley, J.F.: Atomic layer deposition of ruthenium and ruthenium oxide using a zero-oxidation state precursor. Chem. Mater. 29, 1107 (2017).CrossRefGoogle Scholar
Lu, J.L., Elam, J.W., and Stair, P.C.: Atomic layer deposition—Sequential self-limiting surface reactions for advanced catalyst “bottom-up” synthesis. Surf. Sci. Rep. 71, 410 (2016).CrossRefGoogle Scholar
Ma, Q., Guo, H.S., Gordon, R.G., and Zaera, F.: Surface chemistry of copper(I) acetamidinates in connection with atomic layer deposition (ALD) processes. Chem. Mater. 23, 3325 (2011).CrossRefGoogle Scholar
Gordon, P.G., Kurek, A., and Barry, S.T.: Trends in copper precursor development for CVD and ALD applications. ECS J. Solid State Sci. Technol. 4, N3188 (2015).CrossRefGoogle Scholar
Lee, B.H., Hwang, J.K., Nam, J.W., Lee, S.U., Kim, J.T., Koo, S.M., Baunemann, A., Fischer, R.A., and Sung, M.M.: Low-temperature atomic layer deposition of copper metal thin films: Self-limiting surface reaction of copper dimethylamino-2-propoxide with diethylzinc. Angew. Chem., Int. Ed. 48, 4536 (2009).CrossRefGoogle ScholarPubMed
Devi, A.: ‘Old chemistries’ for new applications: Perspectives for development of precursors for MOCVD and ALD applications. Coord. Chem. Rev. 257, 3332 (2013).CrossRefGoogle Scholar
Coyle, J.P., Dey, G., Sirianni, E.R., Kemell, M.L., Yap, G.P.A., Ritala, M., Leskela, M., Elliott, S.D., and Barry, S.T.: Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-heterocyclic carbene precursor. Chem. Mater. 25, 1132 (2013).CrossRefGoogle Scholar
Sarr, M., Bahlawane, N., Arl, D., Dossot, M., McRae, E., and Lenoble, D.: Tailoring the properties of atomic layer deposited nickel and nickel carbide thin films via chain-length control of the alcohol reducing agents. J. Phys. Chem. C 118, 23385 (2014).CrossRefGoogle Scholar
Mouat, A.R., Mane, A.U., Elam, J.W., Delferro, M., Marks, T.J., and Stair, P.C.: Volatile hexavalent oxo-amidinate complexes: Molybdenum and tungsten precursors for atomic layer deposition. Chem. Mater. 28, 1907 (2016).CrossRefGoogle Scholar
Maimaiti, Y. and Elliott, S.D.: Kinetics and coverage dependent reaction mechanisms of the copper atomic layer deposition from copper dimethylamino-2-propoxide and diethylzinc. Chem. Mater. 28, 6282 (2016).CrossRefGoogle Scholar
Miikkulainen, V., Leskela, M., Ritala, M., and Puurunen, R.L.: Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends. J. Appl. Phys. 113, 021301 (2013).CrossRefGoogle Scholar
Ramos, K.B., Saly, M.J., and Chabal, Y.J.: Precursor design and reaction mechanisms for the atomic layer deposition of metal films. Coord. Chem. Rev. 257, 3271 (2013).CrossRefGoogle Scholar
Lee, H.B.R., Kim, W.H., Lee, J.W., Kim, J.M., Heo, K., Hwang, I.C., Park, Y., Hong, S., and Kim, H.: High quality area-selective atomic layer deposition Co using ammonia gas as a reactant. J. Electrochem. Soc. 157, D10 (2010).CrossRefGoogle Scholar
Elko-Hansen, T.D.M. and Ekerdt, J.G.: XPS investigation of the atomic layer deposition half reactions of bis(N-tert-butyl-N′-ethylpropionamidinato) cobalt(II). Chem. Mater. 26, 2642 (2014).CrossRefGoogle Scholar
Lim, B.S., Rahtu, A., and Gordon, R.G.: Atomic layer deposition of transition metals. Nat. Mater. 2, 749 (2003).CrossRefGoogle ScholarPubMed
Lee, J. and Lee, J.G.: Deposition characteristics of Co thin films over high aspect ratio trenches by MOCVD using CO2(CO)8 as a precursor. J. Korean Phys. Soc. 49, S697 (2006).Google Scholar
Lee, J., Park, H.J., Won, S.H., Jeong, K.H., Jung, H.S., Kim, C., Bang, H.J., Lee, C.M., Kim, J.H., Kwon, G.C., Cho, H.L., Soh, H.S., and Lee, J.G.: Consecutive CVD of Al/Co bilayers on SiO2 or Alq3 surfaces at low temperature of 70 °C. J. Electrochem. Soc. 154, H833 (2007).CrossRefGoogle Scholar
Lee, J., Yang, H.J., Lee, J.H., Kim, J.Y., Nam, W.J., Shin, H.J., Ko, N., Lee, J.G., Lee, E.G., and Kim, C.S.: Highly conformal deposition of pure Co films by MOCVD using Co2(CO)8 as a precursor. J. Electrochem. Soc. 153, G539 (2006).CrossRefGoogle Scholar
Lee, J.G., Park, H.J., and Lee, J.G.: OTS-templated cobalt deposition using Co2(CO)8 precursor. Solid State Phenom. 124–126, 531 (2007).CrossRefGoogle Scholar
Ye, D.X., Pimanpang, S., Jezewski, C., Tang, F., Senkevich, J.J., Wang, G.C., and Lu, T.M.: Low temperature chemical vapor deposition of Co thin films from Co2(CO)8. Thin Solid Films 485, 95 (2005).CrossRefGoogle Scholar
Crawford, N.R.M., Knutsen, J.S., Yang, K.A., Haugstad, G., McKernan, S., McCormick, F.B., and Gladfelter, W.L.: Splitting the coordinated nitric oxide in Co(CO)3(NO) leads to a nanocrystalline, conductive oxonitride of cobalt. Chem. Vap. Deposition 4, 181 (1998).3.0.CO;2-U>CrossRefGoogle Scholar
Ivanova, A.R., Nuesca, G., Chen, X., Goldberg, C., Kaloyeros, A.E., Arkles, B., and Sullivan, J.J.: The effects of processing parameters in the chemical vapor deposition of cobalt from cobalt tricarbonyl nitrosyl. J. Electrochem. Soc. 146, 2139 (1999).CrossRefGoogle Scholar
Lane, P.A., Oliver, P.E., Wright, P.J., Reeves, C.L., Pitt, A.D., and Cockayne, B.: Metal organic CVD of cobalt thin films using cobalt tricarbonyl nitrosyl. Chem. Vap. Deposition 4, 183 (1998).3.0.CO;2-M>CrossRefGoogle Scholar
Deo, N., Bain, M.F., Montgomery, J.H., and Gamble, H.S.: Study of magnetic properties of thin cobalt films deposited by chemical vapour deposition. J. Mater. Sci.: Mater. Electron. 16, 387 (2005).Google Scholar
Lee, H.B.R., Son, J.Y., and Kim, H.: Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co. Appl. Phys. Lett. 90, 213509 (2007).CrossRefGoogle Scholar
Lee, H.B.R. and Kim, H.: High-quality cobalt thin films by plasma-enhanced atomic layer deposition. Electrochem. Solid-State Lett. 9, G323 (2006).CrossRefGoogle Scholar
Lee, K., Kim, K., Park, T., Jeon, H., Lee, Y., Kim, J., and Yeom, S.: Characteristics of Ti-capped Co films deposited by a remote plasma ALD method using cyclopentadienylcobalt dicarbonyl. J. Electrochem. Soc. 154, H899 (2007).CrossRefGoogle Scholar
Pugh, T., Cosham, S.D., Hamilton, J.A., Kingsley, A.J., and Johnson, A.L.: Cobalt(III) diazabutadiene precursors for metal deposition: Nanoparticle and thin film growth. Inorg. Chem. 52, 13719 (2013).CrossRefGoogle ScholarPubMed
Lee, K., Kim, K., Jeon, H., Lee, Y., Kim, J., and Yeom, S.: Characteristics of cobalt films deposited by using a remote plasma ALD method with a CpCo(CO)2 precursor. J. Korean Phys. Soc. 50, 1141 (2007).CrossRefGoogle Scholar
Kim, K., Lee, K., Han, S., Park, T., Lee, Y., Kim, J., Yeom, S., and Jeon, H.: Comparison of co films deposited by remote plasma atomic layer deposition method with cyclopentadienylcobalt dicarbonyl [CpCo(CO)2] and dicobalt octacarbonyl [Co-2(CO)(8)]. Jpn. J. Appl. Phys. 46, L173 (2007).CrossRefGoogle Scholar
Lim, B.S., Rahtu, A., Park, J.S., and Gordon, R.G.: Synthesis and characterization of volatile, thermally stable, reactive transition metal amidinates. Inorg. Chem. 42, 7951 (2003).CrossRefGoogle ScholarPubMed
Dai, M., Kwon, J., Halls, M.D., Gordon, R.G., and Chabal, Y.J.: Surface and interface processes during atomic layer deposition of copper on silicon oxide. Langmuir 26, 3911 (2010).CrossRefGoogle ScholarPubMed
Han, S.H., George, S.M., Lee, G.Y., Han, J.H., Park, B.K., Kim, C.G., Son, S.U., Lah, M.S., and Chung, T-M.: New heteroleptic cobalt precursors for deposition of cobalt-based thin films. ACS Omega 2, 5486 (2017).CrossRefGoogle Scholar
Kalutarage, L.C., Martin, P.D., Heeg, M.J., and Winter, C.H.: Volatile and thermally stable mid to late transition metal complexes containing alpha-imino alkoxide ligands, a new strongly reducing coreagent, and thermal atomic layer deposition of Ni, Co, Fe, and Cr metal films. J. Am. Chem. Soc. 135, 12588 (2013).CrossRefGoogle ScholarPubMed
Kerrigan, M.M., Klesko, J.P., Rupich, S.M., Dezelah, C.L., Kanjolia, R.K., Chabal, Y.J., and Winter, C.H.: Substrate selectivity in the low temperature atomic layer deposition of cobalt metal films from bis(1,4-di-tert-butyl-1,3-diazadienyl) cobalt and formic acid. J. Chem. Phys. 146, 052813 (2017).CrossRefGoogle ScholarPubMed
Premkumar, P.A., Turchanin, A., and Bahlawane, N.: Effect of solvent on the growth of Co and Co2C using pulsed-spray evaporation chemical vapor deposition. Chem. Mater. 19, 6206 (2007).CrossRefGoogle Scholar
Premkumar, P.A., Bahlawane, N., Reiss, G., and Kohse-Hoeinghaus, K.: CVD of metals using alcohols and metal acetylacetonates, part II: Role of solvent and characterization of metal films made by pulsed spray evaporation CVD. Chem. Vap. Deposition 13, 227 (2007).CrossRefGoogle Scholar
Hojo, M., Masuda, R., Kokuryo, Y., Shioda, H., and Matsuo, S.: Electrophilic substitutions of olefinic hydrogens. 2. Acylation of vinyl ethers and N-vinyl amides. Chem. Lett. 5, 499 (1976).CrossRefGoogle Scholar
Schlafer, J., Graf, D., Fornalczyk, G., Mettenborger, A., and Mathur, S.: Fluorinated cerium(IV) enaminolates: Alternative precursors for chemical vapor deposition of CeO2 thin films. Inorg. Chem. 55, 5422 (2016).CrossRefGoogle ScholarPubMed
Hausmann, D.M. and Gordon, R.G.: Surface morphology and crystallinity control in the atomic layer deposition (ALD) of hafnium and zirconium oxide thin films. J. Cryst. Growth 249, 251 (2003).CrossRefGoogle Scholar
Keranen, J., Guimon, C., Liskola, E., Auroux, A., and Niinisto, L.: Atomic layer deposition and surface characterization of highly dispersed titania/silica-supported vanadia catalysts. Catal. Today 78, 149 (2003).CrossRefGoogle Scholar
Biesinger, M.C., Payne, B.P., Grosvenor, A.P., Lau, L.W.M., Gerson, A.R., and Smart, R.S.: Resolving surface chemical states in XPS analysis of first row transition metals, oxides and hydroxides: Cr, Mn, Fe, Co, and Ni. Appl. Surf. Sci. 257, 2717 (2011).CrossRefGoogle Scholar
Sasinska, A., Ritschel, D., Czympiel, L., and Mathur, S.: Metallic copper thin films grown by plasma-enhanced atomic layer deposition of air stable precursors. Adv. Eng. Mater. 19, 1600593 (2017).CrossRefGoogle Scholar
Choi, K.K., Yun, J.H., and Rhee, S.W.: Effect of hydrogen remote plasma annealing on the characteristics of copper film. Thin Solid Films 429, 255 (2003).CrossRefGoogle Scholar
Kariniemi, M., Niinisto, J., Vehkamaki, M., Kemell, M., Ritala, M., Leskela, M., and Putkonen, M.: Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study. J. Vac. Sci. Technol., A 30, 01A115 (2012).CrossRefGoogle Scholar
Knoops, H.C.M., Langereis, E., van de Sanden, M.C.M., and Kessels, W.M.M.: Conformality of plasma-assisted ALD: Physical processes and modeling. J. Electrochem. Soc. 157, G241 (2010).CrossRefGoogle Scholar
Schindler, P., Logar, M., Provine, J., and Prinz, F.B.: Enhanced step coverage of TiO2 deposited on high aspect ratio surfaces by plasma-enhanced atomic layer deposition. Langmuir 31, 5057 (2015).CrossRefGoogle ScholarPubMed
Mcintyre, N.S. and Cook, M.G.: X-ray photoelectron studies on some oxides and hydroxides of cobalt, nickel, and copper. Anal. Chem. 47, 2208 (1975).CrossRefGoogle Scholar
Choudhury, T., Saied, S.O., Sullivan, J.L., and Abbot, A.M.: Reduction of oxides of iron, cobalt, titanium and niobium by low-energy ion-bombardment. J. Phys. D: Appl. Phys. 22, 1185 (1989).CrossRefGoogle Scholar
Supplementary material: File

Sasinska et al. supplementary material

Sasinska et al. supplementary material 1

Download Sasinska et al. supplementary material(File)
File 3.3 MB