Hostname: page-component-76fb5796d-22dnz Total loading time: 0 Render date: 2024-04-26T15:27:50.224Z Has data issue: false hasContentIssue false

Atomic layer deposition of noble metals: Exploration of the low limit of the deposition temperature

Published online by Cambridge University Press:  01 November 2004

Titta Aaltonen*
Affiliation:
Department of Chemistry, University of Helsinki, FIN-00014 Helsinki, Finland
Mikko Ritala
Affiliation:
Department of Chemistry, University of Helsinki, FIN-00014 Helsinki, Finland
Yung-Liang Tung
Affiliation:
Department of Chemistry, National Tsing Huang University, Hsinchu 30013, Taiwan
Yun Chi
Affiliation:
Department of Chemistry, National Tsing Huang University, Hsinchu 30013, Taiwan
Kai Arstila
Affiliation:
Department of Physical Sciences, University of Helsinki, FIN-00014 Helsinki, Finland
Kristoffer Meinander
Affiliation:
Department of Physical Sciences, University of Helsinki, FIN-00014 Helsinki, Finland
Markku Leskelä
Affiliation:
Department of Chemistry, University of Helsinki, FIN-00014 Helsinki, Finland
*
a) Address all correspondence to this author. e-mail: titta.aaltonen@helsinki.fi
Get access

Abstract

The low limit of the deposition temperature for atomic layer deposition (ALD) of noble metals has been studied. Two approaches were taken; using pure oxygen instead of air and using a noble metal starting surface instead of Al2O3. Platinum thin films were obtained by ALD from MeCpPtMe3 and pure oxygen at deposition temperature as low as 200 °C, which is significantly lower than the low-temperature limit of300 °C previously reported for the platinum ALD process in which air was used as the oxygen source. The platinum films grown in this study had smooth surfaces, adhered well to the substrate, and had low impurity contents. ALD of ruthenium, on the other hand, took place at lower deposition temperatures on an iridium seed layer than on an Al2O3 layer. On iridium surface, ruthenium films were obtained from RuCp2 and oxygen at 225 °C and from Ru(thd)3 and oxygen at 250 °C, whereas no films were obtained on Al2O3 at temperatures lower than 275 and 325 °C, respectively. The crystal orientation of the ruthenium films was found to depend on the precursor. ALD of palladium from a palladium β-ketoiminate precursor and oxygen at 250 and 275 °C was also studied. However, the film-growth rate did not saturate to a constant level when the precursor pulse times were increased.

Type
Articles
Copyright
Copyright © Materials Research Society 2004

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

1Hwang, C.S.: (Ba,Sr)TiO3 thin films for ultra large scale dynamic random-access memory. A review on the process integration. Mater. Sci. Eng. B 56, 178 (1998).CrossRefGoogle Scholar
2Tsai, M.S., Sun, S.C. and Tseng, T-Y.: Effect of bottom electrode materials on the electrical and reliability characteristics of (Ba,Sr)TiO3 capacitors. IEEE Trans. Electron Devices 46, 1829 (1999).CrossRefGoogle Scholar
3Johnson, J.A., Lisoni, J.G. and Wouters, D.J.: Developing a conductive oxygen barrier for ferroelectric integration. Microelectron. Eng. 70, 377 (2003).CrossRefGoogle Scholar
4Bandaru, J., Sands, T. and Tsakalakos, L.: Simple Ru electrode scheme for ferroelectric (Pb,La)(Zr,Ti)O3 capacitors directly on silicon. J. Appl. Phys. 84, 1121 (1998).Google Scholar
5Pawlak, M.A., Schram, T., Maex, K. and Vantomme, A.: Investigation of iridium as a gate electrode for deep sub-micron CMOS technology. Microelectron. Eng. 70, 373 (2003).Google Scholar
6Papadatos, F., Skordas, S., Consiglio, S., Kaloyeros, A.E. and Eisenbraun, E.: Characterization of ruthenium and ruthenium oxide thin films deposited by chemical vapor deposition for CMOS gate electrode applications, in Novel Materials and Processes for Advanced CMOS, edited by Gardner, M.I., De Gendt, S., Maria, J-P., and Stemmer, S. (Mater. Res. Soc. Symp. Proc. 745 Warrendale, PA, 2003) p. 61Google Scholar
7Choi, K-J. and Yoon, S-G.: Characteristics of Pt and TaN metal gate electrode for high-κ hafnium oxide gate dielectrics. Electrochem. Solid-State Lett. 7, G47 (2004).CrossRefGoogle Scholar
8Josell, D., Wheeler, D., Witt, C. and Moffat, T.P.: Seedless superfill: Copper electrodeposition in trenches with ruthenium barriers. Electrochem. Solid-State Lett. 6, C143 (2003).CrossRefGoogle Scholar
9Wang, Z., Yaegashi, O., Sakaue, H., Takahagi, T. and Shingubara, S.: Highly adhesive electroless Cu layer formation using an ultra thin ionized cluster beam (ICB)-Pd catalytic layer for sub-100 nm Cu interconnections. Jpn. J. Appl. Phys. 42, L1223 (2003).CrossRefGoogle Scholar
10Kim, J.J., Kim, S-K. and Kim, Y.S.: Direct plating of low resistivity bright Cu film onto TiN barrier layer via Pd activation. J. Electrochem. Soc. 151, C97 (2004).CrossRefGoogle Scholar
11Garcia, J.R.V. and Goto, T.: Chemical vapor deposition of iridium, platinum, rhodium, and palladium. Mater. Trans. 44, 1717 (2003).Google Scholar
12Ritala, M. and Leskelä, M. in Handbook of Thin Film Materials, edited by Nalwa, H.S. (Academic Press, San Diego, CA, 2001) p. 103.Google Scholar
13Ritala, M., Leskelä, M., Dekker, J-P., Mutsaers, C., Soininen, P.J. and Skarp, J.: Perfectly conformal TiN and Al2O3 films deposited by atomic layer deposition. Chem. Vap. Deposition 5, 7 (1999).3.0.CO;2-J>CrossRefGoogle Scholar
14Elers, K-E., Saanila, V., Soininen, P.J., Li, W-M., Kostamo, J.T., Haukka, S., Juhanoja, J. and Besling, W.F.A.: Diffusion barrier deposition on a copper surface by atomic layer deposition. Chem. Vap. Deposition 8, 149 (2002).Google Scholar
15Aaltonen, T., Alén, P., Ritala, M. and Leskelä, M.: Ruthenium thin films grown by atomic layer deposition. Chem. Vap. Deposition 9, 45 (2003).CrossRefGoogle Scholar
16Aaltonen, T., Ritala, M., Arstila, K., Keinonen, J. and Leskelä, M.: Atomic layer deposition of ruthenium thin films from Ru(thd)3 and oxygen. Chem. Vap. Deposition 10, 215 (2004).Google Scholar
17Yoon, D-S. and Roh, J.S.: Thin Pt layer insertion into the Ru bottom electrode: Effects on the surface morphology of a (Ba, Sr) TiO3 dielectric film and on the performance of the TiN barrier in the Pt/Ru/TiN/p-Si/Si heterostructure. Semicond. Sci. Technol. 17, 1048 (2002).Google Scholar
18Aaltonen, T., Ritala, M., Sammelselg, V. and Leskelä, M.: Atomic layer deposition of iridium thin films. J. Electrochem. Soc. 151, G489 (2004).Google Scholar
19Kaloyeros, A.E. and Eisenbraun, E.: Ultrathin diffusion barriers/liners for gigascale copper metallization. Annu. Rev. Mater. Sci. 30, 363 (2000).Google Scholar
20Min, Y-S., Bae, E.J., Jeong, K.S., Cho, Y.J., Lee, J-H., Choi, W.B. and Park, G-S.: Ruthenium oxide nanotube arrays fabricated by atomic layer deposition using a carbon nanotube template. Adv. Mater. 15, 1019 (2003).CrossRefGoogle Scholar
21Kwon, O-K., Kim, J-H., Park, H-S. and Kang, S-W.: Atomic layer deposition of ruthenium thin films for copper glue layer. J. Electrochem. Soc. 151, G109 (2004).Google Scholar
22Kwon, O-K., Kwon, S-H., Park, H-S. and Kang, S-W.: Plasma-enhanced atomic layer deposition of ruthenium thin films. Electrochem. Solid-State Lett. 7, C46 (2004).CrossRefGoogle Scholar
23Aaltonen, T., Ritala, M., Sajavaara, T., Keinonen, J. and Leskelä, M.: Atomic layer deposition of platinum thin films. Chem. Mater. 15, 1924 (2003).CrossRefGoogle Scholar
24Senkevich, J.J., Tang, F., Rogers, D., Drotar, J.T., Jezewski, C., Lanford, W.A., Wang, G-C. and Lu, T-M.: Substrate-independent palladium atomic layer deposition. Chem. Vap. Deposition 9, 258 (2003).CrossRefGoogle Scholar
25Aaltonen, T., Rahtu, A., Ritala, M. and Leskelä, M.: Reaction mechanism studies on atomic layer deposition of ruthenium and platinum. Electrochem. Solid-State Lett. 6, C130 (2003).Google Scholar
26Aarik, J., Aidla, A., Jaek, A., Kiisler, A-A. and Tammik, A-A.: Properties of amorphous Al2O3 films grown by ALE. Acta Polytechn. Scand. Chem. Technol. Metall. Ser. 195, 201 (1990).Google Scholar
27Liu, Y-H., Cheng, Y-C., Tung, Y-L., Chi, Y., Chen, Y-L., Liu, C-S., Peng, S-M. and Lee, G-H.: Synthesis and characterization of fluorinated β-ketoiminate and imino-alcoholate Pd complexes: Precursors for palladium chemical vapor deposition. J. Mater. Chem. 13, 135 (2003).Google Scholar
28Waldo, R.A.: An iteration procedure to calculate film compositions and thicknesses in electron-probe microanalysis. Microbeam Anal. 23, 310 (1988).Google Scholar