Hostname: page-component-848d4c4894-sjtt6 Total loading time: 0 Render date: 2024-06-16T12:44:18.108Z Has data issue: false hasContentIssue false

Thermal and mechanical properties of hybrid methylsilsesquioxane/poly(styrene-b-4-vinylpyridine) low-k dielectrics using a late porogen removal scheme

Published online by Cambridge University Press:  31 January 2011

Jihperng Leu*
Affiliation:
Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu, Taiwan 30010
*
a)Address all correspondence to this author. e-mail: jimleu@mail.nctu.edu.tw
Get access

Abstract

A late porogen removal scheme was used to make low-k materials (k = 2.72 to 2.02) using methylsilsesquioxane (MSQ) and a high-temperature porogen, poly(styrene-b-4-vinylpyridine) (PS-b-P4VP), to circumvent the reliability issues related to as-deposited porous dielectric. Based on the nanoindentation and Fourier transform infrared spectroscopy (FTIR) analysis, the moduli of the hybrid films were found to be higher than their porous forms, and even better than the dense MSQ film, for porogen loading below a critical level (˜69.5 vol%). This could be attributed to their enhanced degree of cross-linking in MSQ as evidenced by the network/cage structural ratios. Besides, high-temperature porogen plays different roles during the cross-linking of MSQ depending on its loadings. In this study, with immediate loading at 16.7 vol%, PS-b-P4VP can serve as plasticizer to enhance the degree of cross-linking, but at a large loading >16.7 vol%, it becomes a steric hindrance reducing the degree of cross-linking.

Type
Articles
Copyright
Copyright © Materials Research Society 2010

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

1.Bohr, M.T.Interconnect scaling—The real limiter to high performance ULSI. IEEE IEDM 241 (1995)Google Scholar
2.Hu, C.K., Harper, J.M.E.Copper interconnections and reliability. Mater. Chem. Phys. 52, 5 (1998)CrossRefGoogle Scholar
3.Gonon, P., Sylvestre, A., Meynen, H., Van Cotthem, L.Permittivity and conductivity of low-dielectric constant SiOC:H films deposited by plasma-enhanced chemical vapor deposition. J. Electrochem. Soc. 150, F47 (2003)CrossRefGoogle Scholar
4.Martin, S.J., Godschalx, J.P., Mills, M.E., Shaffer, E.O. II, Townsend, P.H.Development of a low-dielectric constant polymer for the fabrication of integrated circuit interconnect. Adv. Mater. 12, 1770 (2000)3.0.CO;2-5>CrossRefGoogle Scholar
5.Ho, P.S., Leu, J., Lee, W.W.Low Dielectric Constant Materials for IC Applications (Springer, New York 2002)Google Scholar
6.Chiang, C.C., Chen, M.C., Ko, C.C., Jang, S.M., Yu, C.H., Liang, M.S.Physical and barrier properties of plasma-enhanced chemical vapor deposited α-SiCN:H films with different hydrogen contents. Jpn. J. Appl. Phys. 42, 5246 (2003)CrossRefGoogle Scholar
7.Executive Summary Semiconductor Industry Association International Technology Roadmap for Semiconductors (2007)Google Scholar
8.Padovani, A.M., Rhodes, L., Allen, S.A.B., Kohl, P.A.Chemically bonded porogens in methylsilsesquioxane: I. Structure and bonding. J. Electrochem. Soc. 149, F161 (2002)CrossRefGoogle Scholar
9.Baskaran, S., Liu, J., Dormansky, K., Kohler, N., Li, X., Coyle, C., Fryxell, G.E., Thevuthasan, S., Williford, R.E.Low-dielectric constant mesoporous silica films through molecularly templated synthesis. Adv. Mater. 12, 291 (2000)3.0.CO;2-P>CrossRefGoogle Scholar
10.Kim, H.C., Wilds, J.B., Kreller, C.R., Volksen, W., Brock, P.J., Lee, V.Y., Magbitang, T., Hedrick, J.L., Hawker, C.J., Miller, R.D.Fabrication of multilayered nanoporous poly(methyl silsesquioxane). Adv. Mater. 14, 1637 (2002)3.0.CO;2-C>CrossRefGoogle Scholar
11.Yang, S., Mirau, P.A., Pai, C.S., Nalamasu, O., Reichmanis, E., Pai, J.C., Obeng, Y.S., Seputro, J., Lin, E.K., Lee, H.J., Sun, J., Gidley, D.W.Nanoporous ultralow-dielectric constant organosilicates templated by triblock copolymers. Chem. Mater. 14, 369 (2002)CrossRefGoogle Scholar
12.Huang, Q.R., Kim, H.C., Huang, E., Mecerreyes, D., Hedrick, I.L., Volksen, W., Frank, C.W., Miller, R.D.Miscibility in organic/inorganic hybrid nanocomposites suitable for microelectronic applications: Comparison of modulated differential scanning calorimetry and fluorescence spectroscopy. Macromolecules 36, 7661 (2003)CrossRefGoogle Scholar
13.Lazzeri, P., Vanzetti, L., Anderle, M., Bersani, M., Park, J.J., Lin, Z., Briber, R.M., Rubloff, G.W., Kim, H.C., Miller, R.D.Thin-film transformations and volatile products in the formation of nanoporous low-k polymethylsilsesquioxane-based dielectric. J. Vac. Sci. Technol., B 23, 908 (2005)CrossRefGoogle Scholar
14.Mosig, K., Jacobs, T., Brennan, K., Rasco, M., Wolfe, J., Augur, R.I ntegration challenges of porous ultra low-k spin-on dielectrics. Microelectron. Eng. 64, 11 (2002)CrossRefGoogle Scholar
15.Calvert, J., Gallagher, M., Adams, T., Pandit, A., Prokopowicz, G., Sullivan, C., Zhen, H.Zirkon Porous Ultra Low-K Dielectrics (Shipley Co, Marlborough, MA 2003)Google Scholar
16.Malhouitre, S., Jehoul, C., Van Aelst, J., Struyf, H., Brongersma, S., Carbonell, L., Vos, I., Beyer, G., Van Hove, M., Gronbeck, D., Gallagher, M., Calvert, J., Maex, K.Single damascene integration of porous Zirkon version 1 low-k dielectric films. Microelectron. Eng. 70, 302 (2003)CrossRefGoogle Scholar
17.Fayolle, M., Jousseaume, V., Assous, M., Tabouret, E., le Cornec, C., Haumesser, P.H., Leduc, P., Feldis, H., Louveau, O., Passemard, G., Fusalba, F.Cu/ULK integration using a post integration porogen removal approach. IEEE IITC 208 (2004)Google Scholar
18.Murarka, S.P., Eizenberg, M., Sinha, A.K.Interlayer Dielectrics for Semiconductor Technologies (Elsevier/Academic Press, San Diego, CA 2003)Google Scholar
19.Chang, Y., Chen, C.Y., Chen, W.C.Poly(methyl silsesquioxane)/amphiphilic block copolymer hybrids and their porous derivatives: Poly(styrene-block-acrylic acid) and poly(styrene-block-3-trimethoxysilylpropyl methacrylate). J. Polym. Sci., Part B: Polym. Phys. 42, 4466 (2004)CrossRefGoogle Scholar
20.Hamley, I.W.The Physics of Block Copolymers (Oxford University Press, Oxford, UK 1998)CrossRefGoogle Scholar
21.van der Lee, A., Salah, F., Harzallah, B.A comparison of modern data analysis methods for x-ray and neutron specular reflectivity data. J. Appl. Crystallogr. 40, 820 (2007)CrossRefGoogle Scholar
22.Oliver, W.C., Pharr, G.M.An improved technique for determining hardness and elastic modulus using load and displacement sensing indentation experiments. J. Mater. Res. 7, 1564 (1992)CrossRefGoogle Scholar
23.Yang, C.C., Wu, P.T., Chen, W.C., Chen, H.L.Low-dielectric constant nanoporous poly(methyl silsesquioxane) using poly(styrene-block-2-vinylpyridine) as a template. Polymer (Guildf.) 45, 5691 (2005)CrossRefGoogle Scholar
24.Soler-Illia, G.J.A.A., Innocenzi, P.Mesoporous hybrid thin films: The physics and chemistry beneath. Chem. Eur. J. 12, 4478 (2006)CrossRefGoogle ScholarPubMed
25.Tan, J., Zhong, Z.W., Ho, H.M.Wire-bonding process development for low-k materials. Micro. Eng. 81, 75 (2005)CrossRefGoogle Scholar
26.Phani, K.K., Niyogi, S.K.Young's modulus of porous brittle solids. J. Mater. Sci. 22, 257 (1987)CrossRefGoogle Scholar
27.Phani, K.K., Niyogi, S.K., De, A.K.Porosity dependence of fracture mechanical properties of reaction sintered Si3N4. J. Mater. Sci. Lett. 7, 1253 (1988)CrossRefGoogle Scholar
28.Kim, S., Toivola, Y., Cook, R.F., Char, K., Chu, S.H., Lee, J.K., Yoon, D.Y., Rhee, H.W.Organosilicate spin-on glasses: I. Effect of chemical modification on mechanical properties. J. Electrochem. Soc. 151, F37 (2004)CrossRefGoogle Scholar
29.Huang, C.F., Kuo, S.W., Chen, J.K., Chang, F.C.Synthesis and characterization of polystyrene-b-poly(4-vinyl pyridine) block copolymers by atom transfer radical polymerization. J. Polym. Res. 12, 449 (2005)CrossRefGoogle Scholar
30.Lee, J.K., Char, K., Rhee, H.W., Ro, H.W., Yoo, D.Y., Toon, D.Y.Synthetic control of molecular weight and microstructure of processible poly(methylsilsesquioxane)s for low-dielectric thin film applications. Polymer (Guildf.) 42, 9085 (2001)CrossRefGoogle Scholar
31.Wetzel, J.T., Lin, S.H., Mickler, E., Lee, J., Ahlbum, B., Jin, C., Fox, R.J. III, Tsai, M.H., Mlynko, W., Monnig, K.A., Winebarger, P.M.Evaluation of material-property requirements and performance of ultra-low-dielectric constant insulators for inlaid copper metallization. IEEE IEDM 73 (2001)Google Scholar