Hostname: page-component-76fb5796d-45l2p Total loading time: 0 Render date: 2024-04-29T21:49:18.770Z Has data issue: false hasContentIssue false

Ferroelectric devices and circuits for neuro-inspired computing

Published online by Cambridge University Press:  21 September 2020

Panni Wang
Affiliation:
School of Electrical and Computer Engineering, Georgia Institute of Technology, 791 Atlantic Dr NW, Atlanta, GA 30332, USA
Shimeng Yu*
Affiliation:
School of Electrical and Computer Engineering, Georgia Institute of Technology, 791 Atlantic Dr NW, Atlanta, GA 30332, USA
*
Address all correspondence to Shimeng Yu at shimeng.yu@ece.gatech.edu
Get access

Abstract

Recent discovery of ferroelectricity in doped HfO2 has reignited research interest in the ferroelectric field-effect transistor (FeFET) as emerging embedded nonvolatile memory with the potential for neuro-inspired computing. This paper reviews two major aspects for its application in neuro-inspired computing: ferroelectric devices as multilevel synaptic devices and the circuit primitive design with FeFET for in-memory computing. First, the authors survey representative FeFET-based synaptic devices. Then, the authors introduce 2T-1FeFET synaptic cell design that improves its in situ training accuracy to approach software baseline. Then, the authors introduce the FeFET drain–erase scheme for array-level operations, which makes the in situ training feasible for FeFET-based hardware accelerator. Finally, the authors give an outlook on the future 3D-integrated 2T-1FeFET design.

Type
Prospective Articles
Copyright
Copyright © The Author(s), 2020, published on behalf of Materials Research Society by Cambridge University Press

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

LeCun, Y., Bengio, Y., and Hinton, G.: Deep learning. Nature 521, 436 (2015).CrossRefGoogle ScholarPubMed
Si, X., Chen, J., Tu, Y., Huang, W., Wang, J., Chiu, Y., Wei, W., Wu, S., Sun, X., Liu, R., Yu, S., Liu, R., Hsieh, C., Tang, K., Li, Q., and Chang, M.: A twin-8T SRAM computation-in-memory macro for multiple-bit CNN-based machine learning. In 2019 IEEE International Solid- State Circuits Conference — (ISSCC), San Francisco, CA, USA, 2019, pp. 396–398.CrossRefGoogle Scholar
Burr, G.W., Shelby, R.M., Sidler, S., Nolfo, C.d., Jang, J., Boybat, I., Shenoy, R.S., Narayanan, P., Virwani, K., Giacometti, E.U., Kurdi, B.N., and Hwang, H.: Experimental demonstration and tolerancing of a large-scale neural network (165 000 synapses) using phase-change memory as the synaptic weight element. IEEE Trans. Electron Devices 62, 3498 (2015).CrossRefGoogle Scholar
Kim, W., Bruce, R.L., Masuda, T., Fraczak, G.W., Gong, N., Adusumilli, P., Ambrogio, S., Tsai, H., Bruley, J., Han, J., Longstreet, M., Carta, F., Suu, K., and BrightSky, M.: Confined PCM-based analog synaptic devices offering low resistance-drift and 1000 programmable states for deep learning, in 2019 IEEE Symposium on VLSI Technology, Kyoto, Japan, 2019, pp. T66–T67.CrossRefGoogle Scholar
Wu, W., Wu, H., Gao, B., Yao, P., Zhang, X., Peng, X., Yu, S., and Qian, H.: A methodology to improve linearity of analog RRAM for neuromorphic computing, In 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, 2018, pp. 103–104.CrossRefGoogle Scholar
Cai, F., Correll, J.M., Lee, S.H., Lim, Y., Bothra, V., Zhang, Z., Flynn, M.P., and Lu, W.D.: A fully integrated reprogrammable memristor–CMOS system for efficient multiply–accumulate operations. Nat. Electron. 2, 290 (2019).CrossRefGoogle Scholar
Li, C., Belkin, D., Li, Y., Yan, P., Hu, M., Ge, N., Jiang, H., Montgomery, E., Lin, P., Wang, Z., Song, W., Strachan, J.P., Barnell, M., Wu, Q., Williams, R.S., Yang, J.J., and Xia, Q.: Efficient and self-adaptive in-situ learning in multilayer memristor neural networks. Nat. Commun. 9, 2385 (2018).CrossRefGoogle ScholarPubMed
Prezioso, M., Merrikh-Bayat, F., Hoskins, B.D., Adam, G.C., Likharev, K.K., and Strukov, D.B.: Training and operation of an integrated neuromorphic network based on metal-oxide memristors. Nature 521, 61 (2015).CrossRefGoogle ScholarPubMed
Yu, S.: Neuro-inspired computing with emerging nonvolatile memorys. Proc. IEEE 106, 260 (2018).CrossRefGoogle Scholar
Zhu, M., Ren, K., and Song, Z.: Ovonic threshold switching selectors for three-dimensional stackable phase-change memory. MRS Bull. 44, 715 (2019).CrossRefGoogle Scholar
Gu, X., Wan, Z., and Iyer, S.S.: Charge-trap transistors for CMOS-only analog memory. IEEE Trans. Electron Devices 66, 4183 (2019).CrossRefGoogle Scholar
Guo, X., Bayat, F.M., Bavandpour, M., Klachko, M., Mahmoodi, M.R., Prezioso, M., Likharev, K.K., and Strukov, D.B.: Fast, energy-efficient, robust, and reproducible mixed-signal neuromorphic classifier based on embedded NOR flash memory technology. In 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 6.5.1–6.5.4.CrossRefGoogle Scholar
Lin, Y., Lee, F., Lee, M., Chen, W., Lung, H., Wang, K., and Lu, C.: A novel voltage-accumulation vector-matrix multiplication architecture using resistor-shunted floating gate flash memory device for low-power and high-density neural network applications. In 2018 IEEE International Electron Devices Meeting (IEDM), 2018, San Francisco, CA, 2018, pp. 2.4.1–2.4.4.CrossRefGoogle Scholar
Lue, H., Chen, W., Chang, H., Wang, K., and Lu, C.: A novel 3D AND-type NVM architecture capable of high-density, low-power in-memory sum-of-product computation for artificial intelligence application. In 2018 IEEE Symposium on VLSI Technology,, Honolulu, HI, 2018, pp. 177–178.CrossRefGoogle Scholar
Lue, H., Hsu, P., Wei, M., Yeh, T., Du, P., Chen, W., Wang, K., and Lu, C.: Optimal design methods to transform 3D NAND flash into a high-density, high-bandwidth and low-power nonvolatile computing in memory (nvCIM) accelerator for deep-learning neural networks (DNN). In 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019, pp. 38.1.1–38.1.4CrossRefGoogle Scholar
Jerry, M., Chen, P., Zhang, J., Sharma, P., Ni, K., Yu, S., and Datta, S.: Ferroelectric FET analog synapse for acceleration of deep neural network training. In 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 6.2.1–6.2.4.CrossRefGoogle Scholar
Mulaosmanovic, H., Ocker, J., Müller, S., Noack, M., Müller, J., Polakowski, P., Mikolajick, T., and Slesazeck, S.: Novel ferroelectric FET based synapse for neuromorphic systems. In 2017 IEEE Symposium on VLSI Technology, Kyoto, 2017, pp. T176–T177.CrossRefGoogle Scholar
Oh, S., Kim, T., Kwak, M., Song, J., Woo, J., Jeon, S., Yoo, I.K., and Hwang, H.: HfZrOx-based ferroelectric synapse device With 32 levels of conductance states for neuromorphic applications. IEEE Electron Device Lett. 38, 732 (2017).CrossRefGoogle Scholar
Seo, M., Kang, M., Jeon, S., Bae, H., Hur, J., Jang, B.C., Yun, S., Cho, S., Kim, W., Kim, M., Hwang, K., Hong, S., Choi, S., and Choi, Y.: First demonstration of a logic-process compatible junctionless ferroelectric FinFET synapse for neuromorphic applications. IEEE Electron Device Lett. 39, 1445 (2018).CrossRefGoogle Scholar
Kim, M.-K. and Lee, J.-S.: Ferroelectric analog synaptic transistors. Nano Lett. 19, 2044 (2019).CrossRefGoogle ScholarPubMed
Halter, M., Bégon-Lours, L., Bragaglia, V., Sousa, M., Offrein, B.J., Abel, S., Luisier, M., and Fompeyrine, J.: Back-end, CMOS-compatible ferroelectric field-effect transistor for synaptic weights. ACS Appl. Mater. Interfaces 12, 17725 (2020).CrossRefGoogle ScholarPubMed
Sun, X., Wang, P., Ni, K., Datta, S., and Yu, S.: Exploiting hybrid precision for training and inference: a2T-1FeFET based analog synaptic weight cell. In 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2018, pp. 3.1.1–3.1.4.CrossRefGoogle Scholar
Böscke, T.S., Müller, J., Bräuhaus, D., Schröder, U., and Böttger, U.: Ferroelectricity in hafnium oxide thin films. Appl. Phys. Lett. 99, 102903 (2011).CrossRefGoogle Scholar
Dünkel, S., Trentzsch, M., Richter, R., Moll, P., Fuchs, C., Gehring, O., Majer, M., Wittek, S., Müller, B., Melde, T., Mulaosmanovic, H., Slesazeck, S., Müller, S., Ocker, J., Noack, M., Löhr, D., Polakowski, P., Müller, J., Mikolajick, T., Höntschel, J., Rice, B., Pellerin, J., and Beyer, S.: A FeFET based super-low-power ultra-fast embedded NVM technology for 22 nm FDSOI and beyond. In 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 19.7.1–19.7.4.CrossRefGoogle Scholar
Trentzsch, M., Flachowsky, S., Richter, R., Paul, J., Reimer, B., Utess, D., Jansen, S., Mulaosmanovic, H., Müller, S., Slesazeck, S., Ocker, J., Noack, M., Müller, J., Polakowski, P., Schreiter, J., Beyer, S., Mikolajick, T., and Rice, B.: A 28 nm HKMG super low power embedded NVM technology based on ferroelectric FETs. In 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 11.5.1–11.5.4.CrossRefGoogle Scholar
Zeng, B., Liao, M., Peng, Q., Xiao, W., Liao, J., Zheng, S., and Zhou, Y.: 2-Bit/cell operation of Hf0.5Zr0.5O2 based FeFET memory devices for NAND applications. IEEE J. Electron Devices Soc. 7, 551 (2019).CrossRefGoogle Scholar
Chatterjee, K., Kim, S., Karbasian, G., Tan, A.J., Yadav, A.K., Khan, A.I., Hu, C. and Salahuddin, S.: Self-aligned, gate last, FDSOI, ferroelectric gate memory device with 5.5-nm Hf0.8Zr0.2O2, high endurance and breakdown recovery. IEEE Electron Device Lett. 38, 1379 (2017).CrossRefGoogle Scholar
Chen, P.-Y., Lin, B., Wang, I., Hou, T., Ye, J., Vrudhula, S., Seo, J., Cao, Y., and Yu, S.: Mitigating effects of non-ideal synaptic device characteristics for on-chip learning, In 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) , Austin, TX, 2015, pp. 194–199.CrossRefGoogle Scholar
Sun, X. and Yu, S.: Impact of Non-ideal characteristics of resistive synaptic devices on implementing convolutional neural networks. IEEE J. Emerg. Sel. Topics Circuits Syst. 9, 570 (2019).CrossRefGoogle Scholar
Chen, P.-Y., Peng, X., and Yu, S.: Neurosim: a circuit-level macro model for benchmarking neuro-inspired architectures in online learning. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. 37, 3067 (2018).CrossRefGoogle Scholar
Wu, S., Li, G., Chen, F., and Shi, L.: Training and Inference with Integers in Deep Neural Networks. In International Conference on Learning Representations, Vancouver, BC, Canada, 2018.Google Scholar
Ni, K., Jerry, M., Smith, J.A., and Datta, S.: A circuit compatible accurate compact model for ferroelectric-FETs. In 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, 2018, pp. 131–132.CrossRefGoogle Scholar
Luo, Y., Wang, P., Peng, X., Sun, X., and Yu, S.: Benchmark of ferroelectric transistor-based hybrid precision synapse for neural network accelerator. IEEE J. Explor. Solid-State Comput. Devices Circuits 5 (2019).CrossRefGoogle Scholar
Ambrogio, S., Narayanan, P., Tsai, H., Shelby, R.M., Boybat, I., di Nolfo, C., Sidler, S., Giordano, M., Bodini, M., Farinha, N.C.P., Killeen, B., Cheng, C., Jaoudi, Y., and Burr, G.W.: Equivalent-accuracy accelerated neural-network training using analogue memory. Nature 558, 60 (2018).CrossRefGoogle ScholarPubMed
Ni, K., Li, X., Smith, J.A., Jerry, M., and Datta, S.: Write disturb in ferroelectric FETs and Its implication for 1T-FeFET AND memory arrays. IEEE Electron Device Lett. 39, 1656 (2018).CrossRefGoogle Scholar
Reis, D., Ni, K., Chakraborty, W., Yin, X., Trentzsch, M., Dünkel, S.D., Melde, T., Müller, J., Beyer, S., Datta, S., Niemier, M.T., and Hu, X.S.: Design and analysis of an ultra-dense, low-leakage, and fast FeFET-based random access memory array. IEEE J. Explor. Solid-State Comput. Devices Circuits 5, 103 (2019).CrossRefGoogle Scholar
Wang, P., Wang, Z., Shim, W., Hur, J., Datta, S., Khan, A.I., and Yu, S.: Drain–erase scheme in ferroelectric field-effect transistor—part I: device characterization. IEEE Trans. Electron Devices 67, 955 (2020).CrossRefGoogle Scholar
Wang, P., Shim, W., Wang, Z., Hur, J., Datta, S., Khan, A.I., and Yu, S.: Drain-erase scheme in ferroelectric field effect transistor—part II: 3-D-NAND architecture for In-memory computing. IEEE Trans. Electron Devices 67, 962 (2020).CrossRefGoogle Scholar
Florent, K., Lavizzari, S., Piazza, L.D., Popovici, M., Vecchio, E., Potoms, G., Groeseneken, G., and IHoudt, J.V.: First demonstration of vertically stacked ferroelectric Al doped HfO2 devices for NAND applications. In 2017 Symposium on VLSI Technology, Kyoto, 2017, pp. T158–T159.CrossRefGoogle Scholar
Ni, K., Smith, J.A., Grisafe, B., Rakshit, T., Obradovic, B., Kittl, J.A., Rodder, M., and Datta, S.: SoC logic compatible multi-Bit FeMFET weight cell for neuromorphic applications. In 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2018, pp. 13.2.1–13.2.4.CrossRefGoogle Scholar